10-K
SECURITIES AND EXCHANGE
COMMISSION
Washington, D.C.
20549
Form 10-K
|
|
|
(Mark One)
|
|
|
|
þ
|
|
ANNUAL REPORT PURSUANT TO SECTION 13 OR 15(d) OF THE
SECURITIES EXCHANGE ACT OF 1934
|
|
|
For the fiscal year ended
August 30, 2008
|
or
|
o
|
|
TRANSITION REPORT PURSUANT TO SECTION 13 OR 15(d) OF THE
SECURITIES EXCHANGE ACT OF 1934
|
|
|
For the transition period
from to
|
Commission File Number 0-17276
FSI INTERNATIONAL,
INC.
(Exact Name of Registrant as
specified in its charter)
|
|
|
|
|
MINNESOTA
|
|
|
41-1223238
|
|
(State or other jurisdiction
of
incorporation or organization)
|
|
|
(I.R.S. Employer
Identification No.
|
)
|
3455 LYMAN BOULEVARD, CHASKA, MINNESOTA
55318-3052
(Address of principal executive
offices and Zip Code)
Registrants telephone number, including area code:
(952) 448-5440
Securities registered pursuant to Section 12(b) of the
Securities Exchange Act:
Common Stock, no par value
Securities registered pursuant to Section 12(g) of the
Securities Exchange Act:
Indicate by a check mark if the Registrant is a well-known
seasoned issuer, as defined in Rule 405 of the Securities
Act of
1933. Yes o No þ
Indicate by a check mark if the Registrant is not required to
file reports pursuant to Section 13 or Section 15(d)
of the Securities Exchange Act of
1934. Yes o
No þ
Indicate by check mark whether the Registrant (1) has filed
all reports required to be filed by Section 13 or 15(d) of
the Securities Exchange Act of 1934 during the preceding
12 months (or for such shorter period that the Registrant
was required to file such reports), and (2) has been
subject to such filing requirements for the past
90 days. Yes þ No o
Indicate by check mark if disclosure of delinquent filers
pursuant to Item 405 of
Regulation S-K
is not contained herein, and will not be contained, to the best
of Registrants knowledge, in definitive proxy or
information statements incorporated by reference in
Part III of this
Form 10-K
or any amendment to this
Form 10-K. þ
Indicate by check mark whether the registrant is a large
accelerated filer, an accelerated filer, a non-accelerated
filer, or a smaller reporting company. See the definitions of
large accelerated filer, accelerated
filer and smaller reporting company in
Rule 12b-2
of the Exchange Act. (Check one):
|
|
|
|
Large
accelerated
filer o
|
Accelerated
filer o
|
Non-accelerated
filer o
|
Smaller
reporting
company þ
|
(Do not check if a smaller reporting company)
Indicate by a check mark whether the Registrant is a shell
company (as defined in
Rule 12b-2
of the Exchange Act of
1934). Yes o No þ
The aggregate market value of the voting common stock held by
non-affiliates of the Registrant, based on the closing price on
February 29, 2008, the last business day of the
Registrants most recently completed second fiscal quarter,
as reported on the NASDAQ Global Market, was approximately
$49,800,000. Shares of common stock held by each officer and
director have been excluded from this computation in that such
persons may be deemed to be affiliates. This amount is provided
only for purposes of this report on
Form 10-K
and does not represent an admission by the Registrant or any
such person as to the status of such person.
As of October 30, 2008, the Registrant had issued and
outstanding 30,839,000 shares of common stock.
TABLE OF CONTENTS
DOCUMENTS
INCORPORATED BY REFERENCE
Portions of the Registrants definitive proxy statement for
the Annual Meeting of Shareholders to be held on
January 21, 2009 and to be filed within 120 days after
the Registrants fiscal year ended August 30, 2008,
are incorporated by reference into Part III of this
Form 10-K
Report. (The Audit and Finance Committee Report and the
Compensation Committee Report of the Registrants proxy
statement are expressly not incorporated by reference herein.)
PART I
Cautionary
Information Regarding Forward-Looking Statements
Certain statements contained in this report on
Form 10-K
constitute forward-looking statements within the meaning of
Section 21E of the Securities Exchange Act of 1934, as
amended, and are subject to the safe harbor created by that
statute. Typically we identify forward-looking statements by use
of an asterisk *. In some cases, you can identify
forward-looking statements by terminology such as
expects, anticipates,
intends, may, should,
plans, believes, seeks,
estimates, could, would or
the negative of such terms or other comparable terminology. Such
forward-looking statements are based upon current expectations
and beliefs and involve numerous risks and uncertainties, both
known and unknown, that could cause actual events or results to
differ materially from these forward-looking statements. For a
discussion of factors that could cause actual results to differ
materially from those described in this
Form 10-K,
see the discussion of risk factors set forth below in
Item 1.A. of this report. Although we believe that the
expectations reflected in the forward-looking statements are
reasonable as of the date of this report, we cannot guarantee
future results, levels of activity, performance or achievements.
We undertake no duty to update any of the forward-looking
statements after the date of this report.
The
Company
FSI International, Inc., a Minnesota corporation organized in
1973 (FSI, the Company, we,
us), designs, manufactures, markets and supports
equipment used in the fabrication of microelectronics, such as
advanced semiconductor devices. In fiscal 2008, we provided
surface conditioning technology solutions and microlithography
systems and support services to worldwide manufacturers of
integrated circuits.
FSI manufactures, markets and supports surface conditioning
equipment that uses wet, vapor, cryogenic and other chemistry
techniques to clean, strip or etch the surfaces of silicon
wafers. The Companys
POLARIS®
Microlithography Systems business provides low cost, highly
flexible products that are used to deposit and develop
light-sensitive material onto the surface of silicon wafers and
similar substrates. These businesses are supported by service
groups that provide finance, human resources, information
services, sales and service, marketing and other administrative
functions.
In fiscal 2008, we directly sold and serviced our products in
North America, Europe, and the Asia Pacific region, except for
Japan. In Japan, our products are sold and serviced through
Apprecia Technology, Inc. (Apprecia) (formerly known
as mFSI LTD), a company in which FSI maintains a
20 percent equity ownership. See Note 3 of the Notes
to Consolidated Financial Statements for a discussion of our
equity ownership in Apprecia.
Industry
Background
The complex process of fabricating semiconductor devices
involves several distinct phases that are repeated numerous
times. Because each production phase typically requires
different processing technologies and equipment, no single
semiconductor equipment supplier currently produces all types of
tools needed to equip an entire state-of-the-art fabrication
facility. Instead, semiconductor device manufacturers typically
equip their facilities by combining manufacturing equipment
produced by a number of suppliers. Each set of equipment
performs specific functions in the manufacturing process.
2
Generally, increasing demand for computer chips, new computer
chip designs, new materials of fabrication and new substrate
(the underlying material upon which a semiconductor device or
integrated circuit is formed) types both size and
composition drives demand for new microelectronics
manufacturing equipment and processes. Industries that use
microelectronics increasingly demand higher performance devices
from manufacturers. Over the last decade, device manufacturers
have reduced the feature size and substantially increased the
functionality of individual devices through a number of
technological advances. Many of these advancements are made
possible using the equipment and technologies FSI provides to
the semiconductor industry.
Our business depends upon the microelectronics
manufacturers capital equipment expenditures.
Manufacturers expenditures in turn depend on the current
and anticipated market demand for products that use
microelectronic devices. The microelectronics industry is
cyclical in nature and experiences periodic downturns.
Microelectronics manufacturers require equipment suppliers to
take an increasingly active role in meeting the
manufacturers technology development and capital
productivity requirements. Equipment suppliers satisfy this
requirement by developing and supporting products and processes
required to address the new trends in microelectronics
manufacturing. These trends include development of smaller
geometries, transition to new materials, migration to larger
wafers and wafer level packaging.
According to the Gartner Group, purchases of semiconductor
equipment by microelectronics manufacturers totaled
$45 billion in calendar 2007. Based upon the most recent
Gartner Group forecast, spending on semiconductor equipment is
expected to decrease by 25% to $34 billion in calendar
2008.*
Products
The sales mix between system sales and spare parts and service
sales has varied from year to year. The following table sets
forth, for the periods indicated, the amount of revenues and
approximate percentages of our total revenues for systems and
spare parts and service:
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Fiscal Year Ended
|
|
|
|
August 30,
|
|
|
August 25,
|
|
|
August 26,
|
|
|
|
2008
|
|
|
2007
|
|
|
2006
|
|
|
|
(Dollars in thousands)
|
|
|
Systems
|
|
$
|
51,365
|
|
|
|
65.6
|
%
|
|
$
|
85,444
|
|
|
|
73.5
|
%
|
|
$
|
80,361
|
|
|
|
71.0
|
%
|
Spare parts and service
|
|
|
26,891
|
|
|
|
34.4
|
%
|
|
|
30,789
|
|
|
|
26.5
|
%
|
|
|
32,880
|
|
|
|
29.0
|
%
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
$
|
78,256
|
|
|
|
100.0
|
%
|
|
$
|
116,233
|
|
|
|
100.0
|
%
|
|
$
|
113,241
|
|
|
|
100.0
|
%
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Systems
Our surface conditioning (SC) systems perform
etching and cleaning operations for:
|
|
|
|
|
front-end-of-line (FEOL) fabrication steps, where
integrated circuits or transistors are formed in and on the
substrate during the manufacturing process;
|
|
|
|
back-end-of-line (BEOL) fabrication steps, where
metal wiring levels are formed on the surface of the wafer and
are connected to the transistors; and
|
|
|
|
wafer-level packaging surface preparation, including cleaning,
etching and stripping functions necessary to fabricate solder
bumps or other terminal structures needed to connect the chip to
the circuit board.
|
Todays most advanced integrated circuit (IC)
manufacturing involves more than 100 surface preparation steps.
Many factors are considered when designing and optimizing a
surface preparation process to meet a particular application
need. These factors can include:
|
|
|
|
|
cleaning and etching goals, which are related to the removal of
wafer contaminates and films;
|
|
|
|
selectivity goals, which are related to leaving desired films
and structures intact; and
|
|
|
|
manufacturing goals, which are related to cost, productivity,
safety and environmental concerns.
|
3
The priority of each factor in determining the final surface
preparation process can vary widely across the approximately 100
different steps and depends on the contaminants that need to be
removed, the materials that need to be preserved on the wafer
surface, the dimensions of patterned features and overall
process integration. These varied requirements and priorities
indicate that no single surface preparation technology can
provide the optimal process for every surface preparation
requirement. This is why FSI offers a range of technologies that
allow us, with our customers, to select and optimize the best
solution for each step. These technologies include batch and
single wafer spray, batch immersion and single wafer cryogenic
aerosol.
Batch Spray Processing Systems. Our
batch spray processing systems, which include the
ZETA®
and
MERCURY®
Spray Cleaning Systems, are sophisticated surface
conditioning systems that remove unwanted films and contaminants
from the surface of semiconductor wafers at various stages in
the microelectronic device fabrication process. Multiple
cassettes that contain up to 27 wafers each are placed onto a
turntable inside the systems process chamber. As the
turntable rotates, dispense ports apply a chemical spray to the
wafers surfaces to dissolve and remove the undesirable
films and contaminants. After chemical application, ultra pure
water is sprayed on the wafer surfaces to rinse away the
chemicals. Multiple chemical and rinse steps may be employed
depending on the customers specific application. The
process sequence is completed with a drying step where a flow of
nitrogen into the chamber dries the wafers and the chamber. Our
control system and chemical mixing manifold allow the user to
define, control and monitor a variety of chemical mixtures,
temperatures and sequences. This enables the user to rapidly
develop new processes and utilize the systems for multiple
applications.
Our batch spray systems achieve state-of-the-art performance and
are well suited for applications that require removal of high
levels of contamination, such as implanted photoresist and
unreacted salicide metal. Through efficient mixing and use of
chemicals and water packaged in a small product footprint,
customers may realize lower operational costs than with
competing systems. ZETA systems are differentiated in that they
dispense fresh chemicals during wafer processing as compared to
wet bench systems that may use recirculated chemicals. Fresh
chemical dispense leads to the lowest possible surface
contamination levels, which is critical in the fabrication of
advanced devices.
The
ZETA®
System is a fully-automated batch spray processor currently
available in configurations for both 200 and 300mm wafers. The
advanced process controls, process capability and automation are
ideal for leading technology nodes, particularly from 90
nanometers (nm) down to 32nm and below. Our ZETA
products provide a reliable, automated environment to move
wafers to and from the process chamber. This tools
eight-chemical flow system allows for a wide range of chemical
blend ratios. The system is also available in a lower cost
semi-automated configuration capable of processing 150 or 200mm
wafers.
Introduced in 2006, the ZETA G3 platform builds on the
capabilities of the previous generation of ZETA systems and
offers IC manufacturers better performance and higher
productivity. The ZETAs G3 hardware uniquely enables the
implementation of
ViPRtm
technology (described below) and features enhanced robotics that
enable higher throughput for certain applications. The ZETA G3
platform is designed for 200 and 300mm batch spray FEOL and BEOL
cleaning processes with proven capability for 90, 65 and 45nm
technology nodes.
Subsequent generations of the ZETA system have increased
capabilities with the addition of new tool packages and
processes, including:
|
|
|
|
|
The
FlashCleantm
Advantage package, consisting of hardware, software and process
advancements, enhances system productivity and performance by
decreasing process time and increasing throughput.
|
|
|
|
The
EcoBlendtm
dilute acid process offers a cost-effective and environmentally
friendly method to remove post-ash residues for aluminum and
tungsten interconnect applications.
|
|
|
|
The
ViPRtm
technology is an ash-free, wet resist stripping process that
eliminates the need for ashing on most implanted photoresist
stripping steps. Ashing is a method of stripping photoresist
using an excited gas such as oxygen plasma, ozone or
hydrogen-containing plasma, which can cause surface damage and
undesired material loss. ViPR technology is available on
FSIs ZETA G3 Spray Cleaning Platform.
|
4
|
|
|
|
|
Beginning in 2008, the
ViPRtm
technology is now being offered as replacement chemistry in
nickel platinum and cobalt silicide formation. ViPR technology
for silicide allows customers to eliminate the use of aqua regia
(a mixture of nitric acid and hydrochloric acid) chemistry which
can attack nickel platinum silicide in 45 and 32nm devices. ViPR
technology has been demonstrated at multiple customer sites to
eliminate the silicide attack and is now being adopted by
customers in manufacturing.
|
The
MERCURY®
System is a semi-automated batch spray processor designed for
wafer sizes up to 200mm in diameter and process technologies
through the 130nm node. The system offers the benefits of low
capital cost and low cost of ownership in a small footprint.
CryoKinetic Processing Systems. Our
ANTARES CryoKinetic Cleaning System is a fully automated,
single-wafer cleaning platform designed for 200 and 300mm
wafers. CryoKinetic cleaning is a physical energy transfer
process used to remove non-chemically bonded particles from the
surface of a microelectronic device. These systems offer a
field-proven history of removing surface particle defects and
improving customer yields. The ANTARES system uses an all-dry
non-chemically reactive method for removing defects from all
surface types from the beginning to the end of the device
manufacturing process. Of particular benefit to our customers is
its inherent compatibility with new device materials and
increasingly smaller device features.
CryoKinetic clean technology allows our customers to insert
particle removal steps in the manufacturing line where previous
or traditional wet cleaning and scrubber methods have been
phased out due to their incompatibility with new materials and
their propensity to cause watermark residue and surface charge
defects. Implementing the CryoKinetic clean technology allows
our customers to recover yield that would normally be lost where
traditional approaches cannot be used, such as after in-line
electrical probing of wafers. Because of the increasing number
of BEOL wiring levels on advanced devices, IC manufacturers are
performing electrical tests on partially completed (in-line)
wafers by contacting the wafer surface with metal probes. This
in-line probing creates debris on the wafer surface that cannot
be removed with traditional cleaning methods due to the
sensitivity of the exposed materials (copper and low-k
dielectrics). This debris results in extensive yield loss as the
wafers proceed through the rest of the manufacturing process,
causing IC manufacturers to scrap many of the wafers which are
tested with in-line probing. The ANTARES clean can eliminate
defects created by in-line electrical probing so IC makers can
collect electrical test data without scraping wafers. In this
case, the IC makers can test more wafers for better process
control, which may result in higher yield.
We believe the technical capabilities of the ANTARES system are
extendable well beyond current technology nodes and may result
in increased customer acceptance due to the limitations of
scrubbing methods.*
Immersion Processing Systems. Immersion
cleaning systems are used to clean silicon wafers by immersing
wafers in multiple tanks filled with process chemicals. These
systems enable the implementation of high performance isopropyl
alcohol (IPA) assisted drying to meet the critical
cleaning requirements for 90, 65, and 45nm technology nodes. Our
MAGELLAN Immersion Cleaning System is a fully automated
immersion cleaning product designed for either 200 or 300mm
wafers at advanced technology nodes and is capable of multiple
cleans, including critical clean, resist strip and etch. We
believe this system compares favorably to competing systems
through its process performance, flexibility, extendibility, and
rapid cycle time in a footprint that is smaller than the leading
competition when configured for specific applications. The
MAGELLAN Immersion Cleaning System incorporates a portfolio of
exclusive intellectual property, including our Surface Tension
Gradient
(STG®
) rinse/dry technology,
SymFlow®
etch technology, ozone oxide re-growth technology, and
narrow-gate-compatible
MegaLenstm
Acoustic Diffuser megasonic cleaning technology. The MAGELLAN
System is qualified for several processes including FEOL
critical clean, FEOL photoresist strip and post-ash clean, as
well as oxide etch and nitride etch.
Vapor Processing Systems. We
discontinued the EXCALIBUR product line at the end of calendar
year 2005 for advanced applications, but we have retained a
portfolio of intellectual property patents relating to this
technology. We will continue to fill orders for existing
customer demands, such as an EXCALIBUR system sale for an
application in the microelectromechanical market
(MEMs).
5
Single Wafer Cleaning Systems. Our
newest platform, the
ORION®
Single Wafer Cleaning System, is for cleaning 300mm
semiconductor wafers in a closed chamber, single wafer
environment. The ORION platform uses FSIs core
technologies, including in-line chemical blending, energetic
aerosol chemical and water delivery, recipe driven process
flexibility and closed chamber environmental control. Its small
footprint modular design has the flexibility to enable
clustering of different chamber types and the extendibility to
add modules to increase maximum throughput. In addition to
offering a highly productive and space efficient cleaning
solution, the systems unique closed chamber permits
control of the environment in which the wafer is processed.
Benefits include elimination of water marks, reduction of
oxidation and related material loss, prevention of galvanic
corrosion of metal film stacks, and the use of our proprietary
ViPRtm
Technology to strip implanted photoresist and salicide metal
residues.
Resist Processing Systems. Our
POLARIS®
Microlithography System is used to deposit polyimide resist and
photoresist, light-sensitive, etch-resistant materials used to
transfer an image to the surface of a silicon wafer, or similar
material wafer, and then bake, chill and develop the deposited
material after exposure. We are focused on providing cost
effective solutions to our existing base of POLARIS system
customers and for specialized markets, including wafer level
packaging, MEMS, thin film head (a device manufactured on a
silicon wafer which is capable of reading and writing
information onto a compact disc or other information storage
device), radio frequency (RF) and optical devices.
Through our POLARIS Refresh
Programtm,
in which customers can purchase pre-owned, certified POLARIS
clusters (an integrated environmentally isolated manufacturing
system consisting of process, transport, and cassette modules
mechanically linked together) made of both new
and/or
re-manufactured modules. This allows customers to add capacity
for a lower capital investment. The ratio of new to pre-owned
modules is based on customer expectations and the availability
of used modules. These systems are able to accommodate a variety
of processes and can be purchased in a new configuration or a
system can be reconfigured and upgraded to match previously
installed configurations.
Spare
Parts and Service
We offer system and subsystem upgrade packages, spare part kits,
individual spare part components, robot refurbishment and
replacement, and support services that provide product and
process enhancements to extend the life of previously purchased
and installed systems. Our customer service and process
engineers assist and train customers worldwide to perform
preventive maintenance on, and to service, our equipment. In
addition, our process engineering groups develop process
applications to expand the capabilities of our equipment. These
upgrade and spare part packages and support service programs
enable our worldwide customers to realize a higher return on
their capital investment. We sell a variety of process, service
and maintenance programs. A number of customers have purchased
maintenance contracts in which our service employees work at the
customers facility to provide process service and
maintenance support for our equipment.
Backlog
and Seasonality
Our backlog consists of customer purchase orders with delivery
dates within the next 12 months. Our backlog was
$5.6 million at fiscal 2008 year-end and
$15.2 million at fiscal 2007 year-end. Approximately
37% of our backlog at fiscal 2008 year-end was comprised of
orders from two customers. Approximately 42% of our backlog at
fiscal 2007 year-end was comprised of orders from two other
customers. All orders are subject to cancellation by the
customer and in some cases a penalty provision could apply to a
cancellation.
In fiscal 2008 and 2007, no significant purchase orders were
canceled. Because of the timing and relative size of certain
orders we received and possible changes in delivery schedules
and order cancellations, our backlog can vary from time to time
so that backlog as of any particular date is not necessarily
indicative of actual sales for any subsequent period. Our
business is cyclical but is not seasonal to any significant
extent.
Research
and Development
We believe that our future success depends in large part on our
ability to enhance and advance, in collaboration with our
customers and other equipment and materials manufacturers, our
existing SC product lines to meet the changing needs of
microelectronics manufacturers. We believe that industry trends,
such as
6
the use of smaller circuit geometries, the increased use of
larger substrates and manufacturers increased desire for
integrated processing equipment, will make highly automated and
integrated systems, including single substrate processing
systems, more important to customers. For assistance in our
development efforts, we maintain relationships with our
customers and industry consortium, who help identify and analyze
industry trends and assess how our development activities meet
the industrys advanced technology needs.
Our current research and development programs are focused on
creating new processes and technologies for cleaning substrates
without damaging the increasingly smaller patterned features
being used for the most advanced IC devices. We are also
conducting programs to increase process control and flexibility
through monitoring and software management systems and process
automation, robotics automation in the cleanroom, and
integration of our product offerings with other suppliers
products. Each of these programs involves collaboration with
customers and other equipment manufacturers to ensure proper
machine configuration and process development to meet industry
requirements.
We maintain an 8,000-square-foot, state-of-the-art demonstration
and process development laboratory for our SC business at our
Chaska, Minnesota facility. In addition, we lease
2,500 square feet of laboratory and office space in Allen,
Texas for process development and demonstration for our resist
processing products.
Expenditures for research and development, which are expensed as
incurred, during fiscal 2008 were approximately
$19.0 million, representing 24.2% of total sales.
Expenditures for research and development during fiscal 2007
were approximately $24.1 million, representing 20.7% of
total sales, and expenditures for research and development
during fiscal 2006 were approximately $24.3 million,
representing 21.5% of total sales.
We expect to continue to make substantial investments in
research and development.* We also recognize the importance of
managing product transitions successfully, as the introduction
of new products could adversely affect sales of existing
products.
Marketing,
Sales and Support
We market our products worldwide to manufacturers of
microelectronic devices. Our marketing and sales efforts are
focused on building long-term collaborative relationships with
our customers. These efforts are supported by marketing, sales,
and service personnel, along with applications engineers. These
worldwide FSI teams work collaboratively with individual IC
manufacturers, in FSI process laboratories and at customer
sites, to integrate FSI developed product and process
innovations into customer process flows and optimize them
according to customer priorities.
As of the end of fiscal 2008, our sales efforts were supported
by 117 employees and contractors engaged in customer
service and support. During fiscal 2008, we directly sold and
serviced our products in North America, Europe and the Asia
Pacific region, and through Apprecia in Japan.
By providing a full portfolio of direct support services, we
have developed stronger customer relationships and our customers
continue to show greater interest in expanding beyond their
current use of our traditional spray cleaning technologies to
include new FEOL, BEOL and wafer bumping applications for spray,
as well as employing our advanced immersion and CryoKinetic
technologies. Our increased responsiveness on the local level
has resulted in more collaborative efforts and joint development
programs with IC makers throughout the world for 65nm production
and 45 and 32nm development projects.
International sales accounted for approximately 76% of total
sales in fiscal 2008, 69% of total sales in fiscal 2007, and 62%
of total sales in fiscal 2006.
Manufacturing,
Raw Materials and Suppliers
We maintain manufacturing facilities in Chaska, Minnesota and
Allen, Texas. We typically assemble our products and systems
from components and prefabricated parts manufactured and
supplied by others, including process controllers, robots,
integrated circuits, power supplies, stainless steel pressure
vessels, chamber bowls, valves and relays. Certain items
manufactured by third parties are custom-made to our
specifications.
7
Typically, final assembly and systems tests are performed by our
manufacturing personnel. Quality control is maintained through
quality assurance programs with suppliers, incoming inspection
of components, in-process inspection during equipment assembly,
and final inspection and operation of manufactured equipment
prior to shipment. We have a company-wide quality program in
place and received ISO 9001 certification in 1994 and ISO
9000:2000 certification in 2003.
Certain components and subassemblies included in our products
are obtained from a single supplier or a limited group of
suppliers to ensure overall quality and delivery timeliness. We
purchased approximately 11% of our fiscal 2008 inventory
purchases, 10% of our fiscal 2007 inventory purchases, and 13%
of our fiscal 2006 inventory purchases from one supplier. We
purchased approximately 10% of our fiscal 2006 inventory
purchases from another supplier. Although we seek to reduce
dependence on sole and limited-source suppliers, disruption or
termination of certain of our inventory sources could have a
temporary adverse effect on our operations. We believe that
alternative sources could be obtained and qualified to supply
these products, if necessary, but that production delays would
likely occur in some cases.* Further, a prolonged inability to
obtain certain components could have an adverse effect on our
operating results, delay scheduled deliveries and result in
damage to customer relationships.
Competition
The semiconductor equipment industry is very competitive and
marked by continuous technological challenges. Significant
competitive factors in the equipment market include system
price, which encompasses total cost of ownership, quality,
process performance, reliability, flexibility, extendibility,
integration with other products, process or tool of record, and
customer support.
Many of our established competitors have greater financial,
engineering, research, development, manufacturing, marketing,
service and support resources. To remain competitive, we must
invest in research and development, marketing, customer service
and support programs, and also manage our operating expenses. We
cannot assure that we will have sufficient resources to continue
to make these investments or that our products will continue to
be viewed as competitive as a result of technological advances
by existing or new competitors or due to changes in
semiconductor technology.
Our products compete with, among others, DaiNippon Screen
Manufacturing Co. Ltd., Kaijo Denki, S.E.S. Co., Ltd., Semitool,
Inc., Lam Research, SEMES Co. LTD, Tokyo Electron Ltd. and
several smaller companies. In addition, we compete with various
small equipment refurbishment, equipment maintenance and spare
parts providers.
Customers
We sell products from one or more of our product lines to most
major microelectronics manufacturers. We have an extensive
history of sales to several of the largest IC manufacturers and
over 100 active customers worldwide. The loss of any of these
customers could have a material adverse effect on our
operations. The following customers accounted for 10% or more of
our total sales in fiscal 2008, 2007 and 2006:
|
|
|
|
|
|
|
|
|
|
|
|
|
Customer
|
|
Fiscal 2008
|
|
|
Fiscal 2007
|
|
|
Fiscal 2006
|
|
|
Samsung Electronics
|
|
|
19
|
%
|
|
|
13
|
%
|
|
|
11
|
%
|
ST Microelectronics
|
|
|
12
|
%
|
|
|
u
|
|
|
|
14
|
%
|
Intel Corporation
|
|
|
u
|
|
|
|
11
|
%
|
|
|
u
|
|
Texas Instruments
|
|
|
u
|
|
|
|
u
|
|
|
|
13
|
%
|
Seagate Technology, Inc.
|
|
|
u
|
|
|
|
u
|
|
|
|
11
|
%
|
|
|
|
u |
|
Customer accounted for less than 10% of our total sales during
the fiscal year. |
We have experienced, and expect to continue to experience,
fluctuations in our customer mix.* The timing of an order for
our equipment is primarily dependent upon the customers
expansion program, replacement needs, or requirements to improve
productivity and yields. Consequently, a customer who places
significant orders in one year will not necessarily place
significant orders in subsequent years.
8
Under the new distribution agreement entered into on
May 15, 2007 with Apprecia, Apprecia has exclusive
distribution rights for five years with respect to our SC
products in Japan. Prior to its expiration, the distribution
agreement with Apprecia may be terminated only upon the
occurrence of certain events or conditions or as otherwise
mutually agreed. There is no current obligation under the
distribution agreement for Apprecia to purchase a specified
amount or percentage of our products. However, a minimum
purchase obligation is imposed on Apprecia beginning in fiscal
2009.
Patents,
Trademarks and Intellectual Property
Our success depends upon a variety of factors, including
proprietary technology. It is important to protect our
technology by obtaining and enforcing patents. Consequently, we
have an active program to file patent applications in the United
States and other countries on inventions we consider
significant. We also possess other proprietary intellectual
property, including trademarks, know-how, trade secrets and
copyrights. We also protect our proprietary information through
confidentiality agreements with our employees and various third
parties.
We have a number of patents in the United States and other
countries, with additional applications pending. These patents
may be challenged, invalidated or circumvented, or may not
provide any competitive advantages to us. Pending applications
may not result in patents and the claims allowed in future
patents may not be sufficiently broad to protect our technology.
The laws of some foreign countries may not permit the protection
of our proprietary rights to the same extent as under the laws
of the United States. We believe that the protections afforded
by our patents, patent applications, and other intellectual
property rights have value. Because of rapidly changing
technology, our future success depends on the know-how of our
employees.
In the normal course of business, we occasionally receive and
make inquiries about possible patent infringement. In dealing
with such inquiries, it may be necessary or useful for us to
obtain or grant licenses or other rights. However, we cannot
assure that such license rights will be available to us on
commercially reasonable terms, or even at all. The inability to
obtain certain license or other rights, or to obtain such
licenses or rights on favorable terms, or the need to engage in
litigation could have a material adverse effect on us.
We offer our microlithography POLARIS system pursuant to a
non-exclusive license from Texas Instruments Incorporated
(TI). We have converted the license to a fully
paid-up,
worldwide license to sell and manufacture the POLARIS system. We
also have the non-exclusive right to manufacture and sell
related TI modules. The license agreement with TI continues
until terminated by either party upon a breach by the other, and
the failure to cure, in accordance with the terms of the
agreement.
We offer our SC ANTARES CX Cleaning System under license
agreements from IBM Corporation. The licenses require certain
minimum and system-based royalties. Royalties are based on the
royalty portion revenues of licensed equipment that
excludes amounts for freight, taxes, customers duties,
insurance, discounts, and certain equipment not manufactured by
us.
As of August 30, 2008, we had 84 U.S. patents.
Expiration dates range from September 2008 to July 2026. In
addition, we have 25 pending U.S. patent applications in
various stages of the patent examination process.
Employees
As of August 30, 2008, we had 408 full and part-time
employees. Competition for highly skilled employees is intense.
We believe that a great part of our future success depends upon
our continued ability to retain and attract qualified employees.
We are not subject to any collective bargaining agreements in
the United States and have never been subject to a work
stoppage. We are subject to collective bargaining agreements in
Italy and France covering approximately 20 employees. We
have never been subject to a work stoppage in Italy or France.
In September 2008, as part of cost reductions and restructuring
initiatives, we reduced our headcount to approximately 360 full
and part time employees.
9
Environmental
Matters
In January 2003, we received our certificate of registration
from BSI Management Systems, an independent business services
organization that certifies management systems and products, for
its ISO 14001 environmental management system. ISO 14001 is an
internationally recognized environmental management standard
that empowers organizations to address the environmental impact
of its activities, services and processes. The standard then
provides a framework for enterprises to take steps to identify
issues significant to them and implement environmental
management programs to achieve improved performance.
Registration with ISO 14001 allows companies to reaffirm that
environmental processes are essential components of their
business strategy. We have a long history of
environmentally-friendly practices including research and
development programs that actively seek ways to operate more
environmentally efficient. We registered with ISO 14001 to
emphasize our ongoing commitment to the preservation and
protection of the environment, and to support existing
environmental health and safety initiatives.
We implemented an enterprise-wide program to actively engage our
employees to develop ways to, and emphasize the importance of,
protecting the environment in everyday life at FSI. Our programs
include recycling, water use reductions, chemical handling
processes and equipment design for the environment.
We are subject to a variety of governmental regulations related
to the discharge or disposal of toxic, volatile or otherwise
hazardous chemicals used in the manufacturing and product
development process. We believe that we are in compliance with
these regulations and that we have obtained all necessary
environmental permits to conduct our business. These permits
generally relate to the disposal of hazardous wastes. If we fail
to comply with present or future regulations, fines could be
imposed, production and product development could be suspended,
or operations could cease. Such regulations could require us to
acquire significant equipment or take other actions necessary to
comply with environmental regulations at a potentially
significant cost. If we fail to control the use of, or
adequately restrict the discharge and disposal of, hazardous
substances, we could incur future liabilities.
We believe that compliance with federal, state and local
provisions regulating the discharge of materials into the
environment, or otherwise relating to the protection of the
environment, will not have a material effect upon our capital
expenditures, earnings or competitive position.*
International
Sales
Our international sales for each of the last three fiscal years
are disclosed in the consolidated financial statements included
in Item 8 of this report.
Available
Information
Our annual reports on
Form 10-K,
quarterly reports on
Form 10-Q,
current reports on
Form 8-K,
and amendments to those reports filed or furnished pursuant to
Section 13(a) or 15(d) of the Exchange Act of 1934 are
available free of charge on our website at www.fsi-intl.com as
soon as reasonably practicable after such reports have been
filed with or furnished to the Securities and Exchange
Commission.
Our business faces significant risks. The risks described below
are not the only risks we face. Additional risks and
uncertainties not presently known to us or that we currently
believe are immaterial also may impair our business operations.
If any of the events or circumstances described in the following
risks occurs, our business, operating results or financial
condition could be materially adversely affected. The following
risk factors should be read in conjunction with the other
information and risks set forth in this report.
If the
recent worsening of credit market conditions continues or
increases, it could have a material adverse impact on our
investment portfolio.
Recent U.S. sub-prime mortgage defaults have had a
significant impact across various sectors of the financial
markets, causing global credit and liquidity issues. The
short-term funding markets experienced credit
10
issues during the second half of calendar 2007 and continuing
into the first quarter of calendar 2008, leading to liquidity
disruption in asset-backed commercial paper and failed auctions
in the auction rate market. If the global credit market
continues to deteriorate, our investment portfolio may be
impacted and we could determine that some of our investments are
impaired. This could materially adversely impact our results of
operations and financial condition.
Our investment portfolio includes auction rate securities
(ARS), which are investments with contractual
maturities between 5 to 35 years. ARS are usually found in
the form of municipal bonds, preferred stock, a pool of student
loans or collateralized debt obligations. The interest rates of
our ARS are reset every 28 days through an auction process
and at the end of each reset period, investors can sell or
continue to hold the securities at par.
Of the ARS held by us, $6.8 million par value are backed by
student loans and are over-collateralized, insured and
guaranteed by the United States Federal Department of Education.
The remaining $0.9 million par value relates to
manufactured housing and are collateralized by the principle
housing contract trusts associated with the related loans and
are insured by third parties. In addition, all ARS held by us
are rated by the major independent rating agencies and carry
investment grade ratings and have not experienced any payment
defaults.
Beginning in the second quarter of fiscal 2008, all of our ARS
experienced failed auctions due to sell orders exceeding buy
orders. These failures are not believed to be a credit issue,
but rather caused by a lack of liquidity. Under the contractual
terms, the issuer is obligated to pay penalty interest rates
should an auction fail. We cannot liquidate our ARS until a
successful auction occurs, the issuer redeems the ARS, a buyer
is found outside of the auction process or the underlying
securities have matured.
We recorded an other than temporary impairment of approximately
$0.4 million as of August 30, 2008 relating to the
$6.8 million par value ARS, backed by student loans.
There is no assurance that future auctions of our ARS will be
successful. As a result, our ability to voluntarily liquidate
and recover the carrying value of some or all of the ARS we hold
may be limited for an indefinite period of time. If an issuer of
our ARS is unable to successfully close future auctions or does
not redeem the ARS, or the United States government fails to
support its guaranty of the obligations, we may be required to
adjust the carrying value of the ARS and record additional
impairment charges in future periods, which could materially
affect our results of operations and financial condition.
Because
our business depends on the amount that manufacturers of
microelectronics spend on capital equipment, downturns in the
microelectronics industry may adversely affect our
results.
The microelectronics industry experiences periodic downturns,
which may have a negative effect on our sales and operating
results. Our business depends on the amounts that manufacturers
of microelectronics spend on capital equipment. The amounts they
spend on capital equipment depend on the existing and expected
demand for semiconductor devices and products that use
semiconductor devices. When a downturn occurs, some
semiconductor manufacturers experience lower demand and
increased pricing pressure for their products. As a result, they
are likely to purchase less semiconductor processing equipment
and have sometimes delayed making decisions to purchase capital
equipment. In some cases, semiconductor manufacturers have
canceled or delayed orders for our products. Typically, the
semiconductor equipment industry has experienced more pronounced
decreases in net sales than the semiconductor industry as a
whole.
Since early calendar 2007, we, along with others in the
semiconductor equipment industry, have experienced a downturn in
orders for new equipment as well as delays in existing orders,
primarily from logic and flash memory manufacturers. We cannot
predict the extent and length of the current downturn in orders
and the overall softening in the industry in these segments. In
addition:
|
|
|
|
|
the semiconductor equipment industry may experience other,
possibly more severe and prolonged, downturns in the future;
|
11
|
|
|
|
|
any future recovery of the microelectronics industry may not
result in an increased demand by semiconductor manufacturers for
capital equipment or our products; and
|
|
|
|
the semiconductor equipment industry may not improve in the near
future or at all.
|
Our
licensing practices related to international spare parts sales
may subject us to fines and could reduce our ability to be
competitive in certain countries.
In addition to offering our customers microelectronics
manufacturing equipment, we provide replacement spare parts,
spare part kits and assemblies. In late calendar 2006, we
determined that certain of our replacement valves, pumps and
heaters could fall within the scope of United States export
licensing regulations to products that could be used in
connection with chemical weapons processes. We determined that
these regulations require us to obtain licenses to ship some of
our replacement spare parts, spare part kits and assemblies to
customers in certain controlled countries as defined in the
export licensing regulations. During the second quarter of
fiscal 2007, we were granted licenses to ship replacement spare
parts, spare parts kits and assemblies to all customers in the
controlled countries where we currently conduct business.
The applicable export licensing regulations frequently change.
Moreover, the types and categories of products that are subject
to export licensing are often described in the regulations in
general terms and could be subject to differing interpretations.
In the second quarter of fiscal 2007, we made a voluntary
disclosure to the United States Department of Commerce to
clarify our licensing practices and to review our practices with
respect to prior sales of certain replacement valves, pumps and
heaters to customers in several controlled countries as defined
in the licensing regulations.
The United States Department of Commerce could assess penalties
for any past violation of export control regulations. The
licenses that were granted do not mitigate our risk with respect
to past violations.
Failure
of our products to gain market acceptance would adversely affect
our financial condition.
We believe that our growth prospects depend upon our ability to
gain customer acceptance of our products and technology,
particularly newly developed products. Market acceptance of
products depends upon numerous factors, including:
|
|
|
|
|
compatibility with existing manufacturing processes and products;
|
|
|
|
ability to displace incumbent suppliers or processes or tools of
record;
|
|
|
|
perceived advantages over competing products; and
|
|
|
|
the level of customer service available to support such products.
|
Moreover, manufacturers often rely on a limited number of
equipment vendors to meet their manufacturing equipment needs.
As a result, market acceptance of our products may be affected
adversely to the extent potential customers utilize a
competitors manufacturing equipment. There can be no
assurance that sales of new products will remain constant or
grow or that we will be successful in obtaining broad market
acceptance of our systems and technology.
We expect to spend a significant amount of time and resources to
develop new systems and enhance existing systems. In light of
the long product development cycles inherent in our industry, we
will make these expenditures well in advance of the prospect of
deriving revenue from the sale of any new systems. Our ability
to commercially introduce and successfully market any new
systems is subject to a wide variety of challenges during this
development cycle, including
start-up
bugs, design defects and other matters that could delay
introduction of these systems to the marketplace. In addition,
since our customers are not obligated by long-term contracts to
purchase our systems, our anticipated product orders may not
materialize or orders that do materialize may be canceled. As a
result, if we do not achieve market acceptance of new products,
we may not be able to realize sufficient sales of our systems in
order to recoup research and development expenditures.
12
The failure of any of our new products, for example the
ORION®
, to achieve market acceptance would harm our business,
financial condition, and results of operations and cash flows.
If we
do not continue to develop new products, we will not be able to
compete effectively.
Our business and results of operations could decline if we do
not develop and successfully introduce new or improved products
that the market accepts. The technology used in microelectronics
manufacturing equipment and processes changes rapidly. Industry
standards change constantly and equipment manufacturers
frequently introduce new products. We believe that
microelectronics manufacturers increasingly rely on equipment
manufacturers like us to:
|
|
|
|
|
design and develop more efficient manufacturing equipment;
|
|
|
|
design and implement improved processes for microelectronics
manufacturers to use; and
|
|
|
|
make their equipment compatible with equipment made by other
equipment manufacturers.
|
To compete, we must continue to develop, manufacture, and market
new or improved products that meet changing industry standards.
To do this successfully, we must:
|
|
|
|
|
select appropriate products;
|
|
|
|
design and develop our products efficiently and quickly;
|
|
|
|
implement our manufacturing and assembly processes efficiently
and on time;
|
|
|
|
make products that perform well for our customers;
|
|
|
|
market and sell our products effectively; and
|
|
|
|
introduce our new products in a way that does not unexpectedly
reduce sales of our existing products.
|
Product
or process development problems could harm our results of
operations.
Our products are complex, and from time to time have defects or
bugs that are difficult and costly to fix. This can harm our
results of operations in the following ways:
|
|
|
|
|
we may incur substantial costs to ensure the functionality and
reliability of products early in their life cycle;
|
|
|
|
repeated defects or bugs can reduce orders, increase
manufacturing costs, adversely impact working capital and
increase service and warranty expenses; and
|
|
|
|
we may require significant lead times between product
introduction and commercialization.
|
As a result, we may have to write off inventory and other assets
related to products and could lose customers and revenue. There
is no assurance that we will be successful in preventing product
and process development problems that could potentially harm our
results of operations.
It may
be difficult for us to compete with stronger competitors
resulting from industry consolidation.
In the past several years, we have seen a trend toward
consolidation in the microelectronics equipment industry. We
expect the trend toward consolidation to continue as companies
seek to strengthen or maintain their market positions in a
rapidly changing industry.* We believe that industry
consolidations may result in competitors that are better able to
compete. This could have a significant negative impact on our
business, operating results, and financial condition.
Future
acquisitions may dilute our shareholders ownership
interests and have other adverse consequences.
Because of consolidations in the semiconductor equipment
industry we serve and other competitive factors, our management
will seek to acquire additional product lines, technologies, and
businesses if suitable
13
opportunities develop. Acquisitions may result in the issuance
of our stock, which may dilute our shareholders ownership
interests and reduce earnings per share. Acquisitions also may
increase debt levels and the related goodwill and other
intangible assets, which could have a significant negative
effect on our financial condition and operating results. In
addition, acquisitions involve numerous risks, including:
|
|
|
|
|
difficulties in absorbing the new business, product line, or
technology;
|
|
|
|
diversion of managements attention from other business
concerns;
|
|
|
|
entering new markets in which we have little or no
experience; and
|
|
|
|
possible loss of key employees of the acquired business.
|
Because
of the volatility of our stock price, the ability to trade FSI
shares may be adversely affected and our ability to raise
capital through future equity financing may be
reduced.
Our stock price has been volatile in the past and may continue
to be so in the future. In the first nine weeks of fiscal 2009,
our stock price ranged from $0.31 to $1.21 per share. In fiscal
2008, our stock price ranged from $1.08 to $2.73 per share and
in fiscal 2007, our stock price ranged from $2.13 to $6.90 per
share.
The trading price of our common shares is subject to wide
fluctuations in response to various factors, some of which are
beyond our control, including factors discussed elsewhere in
this report, and the following:
|
|
|
|
|
failure to meet the published expectations of securities
analysts for a given period;
|
|
|
|
changes in financial estimates by securities analysts;
|
|
|
|
press releases or announcements by, or changes in market values
of, comparable companies;
|
|
|
|
additions or departures of key personnel; and
|
|
|
|
involvement in or adverse results from litigation.
|
The prices of technology stocks, including ours, have been
particularly affected by extreme fluctuations in price and
volume in the stock market generally. These broad stock market
fluctuations may have a negative effect on our future stock
price.
In the past, securities class action litigation has often been
brought against a company following periods of volatility in the
market price of its securities. In the future we could be the
target of this type of litigation. Securities litigation may
result in substantial costs and divert managements
attention and resources, which could seriously harm our business.
Our
common stock is at risk for delisting from the NASDAQ Global
Market. If it is delisted, our stock price and the liquidity of
our common stock may be impacted.
Our stock price has been below $1.00 since September 2008. The
NASDAQ has waived the minimum $1.00 per share bid price
requirement until January 16, 2009. If the bid price
remains below $1.00 for 30 consecutive business days after
January 16, 2009, we could receive notice from the NASDAQ
Global Market stating that the bid price of our common stock had
closed below the minimum $1.00 per share requirement for
continued inclusion on the NASDAQ Global Market under
Marketplace Rule 4310(c)(4). Under NASDAQ Marketplace
Rule 4310(c)(8)(D), we would then have 180 calendar days to
regain compliance. If at any time after receiving the notice,
the bid price of our common stock closes at $1.00 per share or
more for a minimum of 10 consecutive business days, the NASDAQ
Global Market would notify us that we have achieved compliance
with the minimum bid price rule. However, if we did not regain
compliance with the minimum bid price rule within the 180
calendar days, the NASDAQ Global Market would determine whether
we met the initial listing criteria for the NASDAQ Capital
Market other than the bid price requirement. If we met such
criteria, we would be afforded an additional 180 calendar days
in order to regain compliance with the minimum bid price rule.
14
If we fail to meet NASDAQs maintenance criteria, our
common stock will be delisted from the NASDAQ Global Market.
If we fail to maintain the standards necessary to be quoted on
the NASDAQ Global Market and our common stock is delisted,
trading in our common stock would be conducted on the NASDAQ
Capital Market or other available market, provided we meet the
standards of such market. Our stock price, as well as the
liquidity of our common stock, may be adversely impacted as a
result.
Because
our quarterly operating results are volatile, our stock price
could fluctuate.
In the past, our operating results have fluctuated from quarter
to quarter and are likely to do so in the future. These
fluctuations may have a significant impact on our stock price.
The reasons for the fluctuations in our operating results, such
as sales, gross profits, and net loss, include:
|
|
|
|
|
The Timing of Significant Customer Orders and Customer
Spending Patterns. During industry downturns, our
customers may ask us to delay or even cancel the shipment of
equipment orders. Delays and cancellations may adversely affect
our operating results in any particular quarter if we are unable
to recognize revenue for particular sales in the quarter in
which we expected those sales.
|
|
|
|
The Timing of Customer Acceptances. Based on
our revenue recognition policy, certain shipments to customers
are not recognized until customer acceptance. Delays of customer
acceptances may adversely affect our operating results in any
particular quarter if we are unable to recognize revenue for
particular sales in the quarter in which we expected those sales.
|
|
|
|
The Timing of New Product and Service Announcements By Us or
Our Competitors. New product announcements by us
or our competitors could cause our customers to delay a purchase
or to decide to purchase products of one of our competitors
which would adversely affect our revenue and, therefore, our
results of operations. New product announcements by others may
make it necessary for us to reduce prices on our products or
offer more service options, which could adversely impact
operating margins and net income.
|
|
|
|
The Mix of Products Sold and the Market Acceptance of Our New
Product Lines. The mix of products we sell varies
from period to period, and because margins vary among or within
different product lines, this can adversely affect our results
of operations. If we fail to sell products that generate higher
margins, our average gross margins may be lower than expected.
If we fail to sell our new product lines, our revenue may be
lower than expected.
|
|
|
|
General Global Economic Conditions or Economic Conditions in
a Particular Region. When economic conditions in
a region or worldwide worsen, customers may delay or cancel
their orders. There also may be an increase in the time it takes
to collect payment from our customers or even outright payment
defaults. This can negatively affect our cash flow and our
results.
|
As a result of these factors, our future operating results are
difficult to predict. Further, we base our current and future
expense plans in significant part on our expectations of our
longer-term future revenue. As a result, we expect our expense
levels to be relatively fixed in the short-run. An unanticipated
decline in revenue for a particular quarter may
disproportionately affect our net income in that quarter. If our
revenue is below our projections, then our operating results
will also be below expectations. Any one of the factors we list
above, or a combination of them, could adversely affect our
quarterly results of operations, and consequently may cause a
decline in our share price.
Changes
in demand caused by fluctuations in foreign currency exchange
rates may reduce our international sales.
Almost all of our direct international sales are denominated in
U.S. dollars. Nonetheless, changes in demand caused by
fluctuations in interest and currency exchange rates may affect
our international sales. We have direct sales, service and
applications support and logistics responsibilities for our
products in Europe and the Asia Pacific region, and accordingly,
we incur labor, service and other expenses in foreign
currencies. As
15
of August 30, 2008, we had not entered into any hedging
activities and our foreign currency transaction gains and losses
for fiscal 2008 were insignificant. We intend to evaluate
various hedging activities and other options to minimize
fluctuations in foreign currency exchange rates. There is no
assurance that we will be successful in minimizing foreign
exchange rate risks and such failure may reduce our
international sales or negatively impact our operating results.
Because
of the need to meet and comply with numerous foreign regulations
and policies, the potential for change in the political and
economic environments in foreign jurisdictions and the
difficulty of managing business overseas, we may not be able to
sustain our historical level of international
sales.
We operate in a global market. In fiscal 2008, approximately 76%
of our sales revenue derived from sales outside of the United
States. In fiscal 2007, approximately 69% of our sales revenue
derived from sales outside the United States. In fiscal 2006,
approximately 62% of our sales revenue derived from sales
outside the United States. We expect that international sales
will continue to represent a significant portion of total
sales.* Sales to customers outside the United States involve a
number of risks, including the following:
|
|
|
|
|
imposition of government controls;
|
|
|
|
compliance with U.S. export laws and foreign laws;
|
|
|
|
political and economic instability;
|
|
|
|
trade restrictions;
|
|
|
|
changes in taxes and tariffs;
|
|
|
|
longer payment cycles;
|
|
|
|
difficulty of administering business overseas; and
|
|
|
|
general economic conditions.
|
In particular, the Japanese and Asia Pacific markets are
extremely competitive. The semiconductor device manufacturers
located in these markets are very aggressive in seeking price
concessions from suppliers, including equipment manufacturers
like us.
We seek to meet technical standards imposed by foreign
regulatory bodies. However, we cannot guarantee that we will be
able to comply with those standards in the future. Any failure
by us to design products to comply with foreign standards could
have a significant negative impact on us.
Because
of the significant financial resources needed to offer a broad
range of products, to maintain customer service and support and
to invest in research and development, we may be unable to
compete with larger, better established
competitors.
The microelectronics equipment industry is highly competitive.
We face substantial competition throughout the world. We believe
that to remain competitive, we will need significant financial
resources to offer a broad range of products, to maintain
customer service and support, and to invest in research and
development. We believe that the microelectronics industry is
becoming increasingly dominated by large manufacturers who have
the resources to support customers on a worldwide basis. Some of
our competitors have substantially greater financial, marketing,
and customer-support capabilities than us. Large equipment
manufacturers have or may enter the market areas in which we
compete. In addition, smaller, emerging microelectronics
equipment companies provide innovative technology. We expect
that our competitors will continue to improve the design and
performance of their existing products and processes. We also
expect them to introduce new products and processes with better
performance and pricing. We cannot guarantee that we will
continue to compete effectively in the United States or
elsewhere. We may be unable to continue to invest in marketing,
research and development and engineering at the levels we
believe necessary to maintain our competitive position. Our
failure to make these investments could have a significant
negative impact on our business, operating results and financial
condition.
16
Manufacturing
interruptions or delays could affect our ability to meet
customer demand, while the failure to estimate customer demand
accurately could result in excess or obsolete
inventory.
Our business depends on our ability to supply equipment,
services and related products that meet the rapidly changing
requirements of our customers, which depends in part on the
timely delivery of parts, components and subassemblies
(collectively, parts) from suppliers. Some key parts may be
subject to long lead-times
and/or
obtainable only from a single supplier or limited group of
suppliers. Significant interruptions of manufacturing operations
or the delivery of services could result in delayed deliveries
to our customers, manufacturing inefficiencies, increased costs
or order cancellations as a result of:
|
|
|
|
|
the failure or inability of suppliers to timely deliver quality
parts;
|
|
|
|
volatility in the availability and cost of materials;
|
|
|
|
difficulties or delays in obtaining required export approvals;
|
|
|
|
information technology or infrastructure failures;
|
|
|
|
difficulties related to planning or effecting business process
changes;
|
|
|
|
natural disasters (such as earthquakes, floods or
storms); or
|
|
|
|
other causes (such as regional economic downturns, pandemics,
political instability, terrorism or acts of war).
|
Moreover, if actual demand for our products is different that
expected, we may purchase more/fewer parts than necessary or
incur costs for canceling, postponing or expediting delivery of
parts. Any or all of these factors could materially and
adversely affect our business, financial condition and results
of operations.
Because
we do not have long-term sales commitments with our customers,
our results will be adversely affected if customers decide to
reduce, delay or cancel orders or choose to deal with our
competitors.
If our significant customers reduce, delay, or cancel orders,
then our operating results could suffer. Our largest customers
have changed from year to year, however, sales to our top five
customers accounted for approximately 51% of total revenues in
fiscal 2008, 42% of total revenues in fiscal 2007 and 52% of
total revenues in fiscal 2006. Samsung Electronics accounted for
approximately 19% of our total sales in fiscal 2008, 13% of our
total sales in fiscal 2007 and 11% of our total sales in fiscal
2006. ST Microelectronics accounted for approximately 12% of our
total sales in fiscal 2008 and 14% of total sales in fiscal
2006. Intel Corporation accounted for approximately 11% of our
total sales in fiscal 2007. Texas Instruments Incorporated
accounted for approximately 13% of total sales in fiscal 2006.
Seagate Technology, Inc. accounted for approximately 11% of
total sales in fiscal 2006. We currently have no long-term sales
commitments with any of our customers. Instead, we generally
make sales under purchase orders. All orders are subject to
cancellation or delay by the customer.
Our
backlog may not result in future net sales.
We schedule the production of our systems based in part upon
order backlog. Due to possible customer changes in delivery
schedules and cancellations of orders, our backlog at any
particular date is not necessarily indicative of actual sales
for any succeeding period. In addition, while we evaluate each
customer order on a case by case basis to determine
qualification for inclusion in backlog, there can be no
assurance that amounts included in backlog ultimately will
result in future sales. A reduction in backlog during any
particular period, or the failure of our backlog to result in
future sales, could harm our business.
Because
we depend upon our management and technical personnel for our
success, the loss of key personnel could place us at a
competitive disadvantage.
Our success depends to a significant extent upon our management
and technical personnel. The loss of a number of these key
persons could have a negative effect on our operations.
Competition is high for such personnel in our industry in all of
our locations. We periodically review our compensation and
benefit
17
packages to ensure that they are competitive in the marketplace
and make adjustments or implement new programs for that purpose,
as appropriate. We cannot guarantee that we will continue to
attract and retain the personnel we require.
Our
employment costs in the short-term are to a large extent fixed,
and therefore any unexpected revenue shortfall could adversely
affect our operating results.
Our operating expense levels are based in significant part on
our headcount, which generally is driven by longer-term revenue
goals. For a variety of reasons, particularly the high cost and
disruption of lay-offs and the costs of recruiting and training,
our headcount in the short-term is, to a large extent, fixed.
Accordingly, we may be unable to reduce employment costs in a
timely manner to compensate for any unexpected revenue or gross
margin shortfall, which could have a material adverse effect on
our operating results.
Because
our intellectual property is important to our success, the loss
or diminution of our intellectual property rights through legal
challenge by others or from independent development by others,
could adversely affect our business.
We attempt to protect our intellectual property rights through
patents, copyrights, trade secrets, and other measures. However,
we believe that our financial performance will depend more upon
the innovation, technological expertise, and marketing abilities
of our employees than on such protection. In connection with our
intellectual property rights, we face the following risks:
|
|
|
|
|
our pending patent applications may not be issued or may be
issued with more narrow claims;
|
|
|
|
patents issued to us may be challenged, invalidated, or
circumvented;
|
|
|
|
rights granted under issued patents may not provide competitive
advantages to us;
|
|
|
|
foreign laws may not protect our intellectual property
rights; and
|
|
|
|
others may independently develop similar products, duplicate our
products, or design around our patents.
|
As is typical in the semiconductor industry, we occasionally
receive notices from others alleging infringement claims. We
have been involved in patent infringement litigation in the past
and we could become involved in similar lawsuits or other patent
infringement claims in the future. We cannot guarantee the
outcome of such lawsuits or claims, which may have a significant
negative effect on our business or operating results.
We are
currently exposed to various risks related to legal proceedings
or claims.
We have in the past and may in the future be involved in legal
proceedings or claims regarding patent infringement,
intellectual property rights, contracts and other matters. These
legal proceedings and claims, whether with or without merit,
could be time-consuming and expensive to prosecute or defend,
and could divert managements attention and resources.
There can be no assurance regarding the outcome of future legal
proceedings or claims. If we are not able to resolve a claim,
negotiate a settlement of the matter, obtain necessary licenses
on commercially reasonable terms
and/or
successfully prosecute or defend its position, our business,
financial condition and results of operations could be
materially and adversely affected.
We generate minor amounts of liquid and solid hazardous waste
and use licensed haulers and disposal facilities to ship and
dispose of such waste. In the past, we have received notice from
state or federal enforcement agencies that we are a potentially
responsible party (PRP) in connection with the
investigation of several hazardous waste disposal sites owned
and operated by third parties. In each matter, we have elected
to participate in settlement offers made to all de minimis
parties with respect to such sites. The risk of being named
a PRP is that if any of the other PRPs are unable to contribute
their proportionate share of the liability, if any, associated
with the site, those PRPs that are financially able could be
held financially responsible for the shortfall.
18
There has and continues to be substantial litigation regarding
patent and other intellectual property rights in the
microelectronics industry. Commercialization of new products or
further commercialization of our current products could provoke
claims of infringement by third parties. In the future,
litigation may be necessary to enforce patents issued to us, to
protect trade secrets or know-how owned by us or to defend us
against claimed infringement of the rights of others and to
determine the scope and validity of our proprietary rights. Any
such litigation could result in substantial costs and diversion
of our effort, which alone could have a material adverse impact
on our financial condition and operating results. Further,
adverse determinations in such litigation could result in our
loss of proprietary rights, subject us to significant
liabilities to third parties, require us to seek licenses from
third parties or prevent us from manufacturing or selling one or
more products, any of which could have a material adverse effect
on our financial condition and results of operations.
Certain of our product lines are intended for use with hazardous
chemicals. As a result, we are notified by our customers from
time to time of incidents involving our equipment that have
resulted in a spill or release of a hazardous chemical. We
maintain product liability insurance in an effort to minimize
our risk. However, in some cases it may be alleged that we or
our equipment are at fault. There can be no assurance that any
future litigation resulting from such claims would not have a
material adverse effect on our business or financial results.
Our
sales cycle is long and unpredictable, which could require us to
incur high sales and marketing expenses with no assurance that a
sale will result.
Sales cycles for some of our products can run as long as 12 to
18 months. As a result, we may not recognize revenue from
efforts to sell particular products for extended periods of
time. We believe that the length of the sales cycle may increase
as some current and potential customers centralize purchasing
decisions into one decision-making entity. We expect this may
intensify the evaluation process and require us to make
additional sales and marketing expenditures with no assurance
that a sale will result.
We are
subject to internal controls evaluations and attestation
requirements of Section 404 of the Sarbanes-Oxley Act of
2002.
Pursuant to Section 404 of the Sarbanes-Oxley Act of 2002,
we must perform evaluations of our internal controls over
financial reporting. We must include with our
Form 10-K
a report on our managements assessment of the adequacy of
such internal controls. Compliance with these requirements is
complex and time-consuming. If we fail to timely or successfully
comply with the requirements of Section 404 we could be
subject to increased regulatory scrutiny and the publics
perception of us may change.
We do
not intend to pay dividends.
We have never declared or paid any cash dividends on our common
stock. We currently intend to retain any future earnings for
funding growth and, therefore, do not expect to pay any
dividends in the foreseeable future.
|
|
Item 1.B.
|
Unresolved
Staff Comments
|
We do not have any unresolved staff comments.
We own a 197,000-square-foot facility in Chaska, Minnesota. The
facility contains certain product engineering, manufacturing,
sales, administrative and support functions. It includes a
research laboratory and 40,000 square feet of
Class 1,000 and 10,000 cleanroom space, manufacturing
support operations and a customer training center.
In February 2005, we sold our 162,000 square foot facility
in Allen, Texas. Concurrent with the sale, we entered into a
sublease for approximately 45,000 square feet of space in
the facility. The lease expires on August 31, 2009.
19
We also maintain small leased sales and service offices
throughout Europe and Asia near our customer locations.
|
|
ITEM 3.
|
LEGAL
PROCEEDINGS
|
We are not subject to any material pending legal proceedings.
|
|
ITEM 4.
|
SUBMISSION
OF MATTERS TO A VOTE OF SHAREHOLDERS
|
There were no matters submitted to a vote of shareholders during
the fourth quarter ended August 30, 2008.
|
|
ITEM 4A.
|
EXECUTIVE
OFFICERS OF THE COMPANY
|
The executive officers are elected by the board of directors,
generally for a term of one year, and serve until their
successor is elected and qualified. The following table and
discussion contains information regarding our current executive
officers.
|
|
|
|
|
|
|
Name
|
|
Age
|
|
Position
|
|
John C. Ely(1)
|
|
|
49
|
|
|
Vice President, Global Sales and Service
|
Patricia M. Hollister(2)
|
|
|
48
|
|
|
Chief Financial Officer and Assistant Secretary
|
Donald S. Mitchell(3)
|
|
|
53
|
|
|
Chairman and Chief Executive Officer
|
Benno G. Sand(4)
|
|
|
54
|
|
|
Executive Vice President, Business Development and Investor
Relations and Secretary
|
|
|
|
(1) |
|
John Ely was named Vice President of Global Sales and Service in
June 2003. He previously served as Executive Vice President;
President, of our SC Division from August 2000 to June 2003.
Mr. Ely was the SC Divisions Sales/
Marketing/Applications Manager from 1997 to 2000; General
Manager from 1995 to 1997; Product Specialist/Product Manager
from 1989 to 1995; and in direct sales from 1985 to 1989. Prior
to joining FSI, Mr. Ely was in sales and served as the
Western Territory Manager of Galtek, a subsidiary of Entegris,
Inc. Mr. Ely is a director of SCD Mountain View, Inc., one
of our subsidiaries. |
|
(2) |
|
Patricia Hollister has served as Chief Financial Officer since
January 1998 and as Assistant Secretary since January 2000. She
was our Corporate Controller from March 1995 to January 1998.
Prior to joining FSI, Ms. Hollister was employed by KPMG
LLP in Minneapolis, Minnesota where she served over
12 years on various audit and consulting engagements, most
recently as a Senior Manager. Ms. Hollister is a director
of various FSI-owned foreign subsidiaries as well as NVE
Corporation. |
|
(3) |
|
Donald Mitchell was named Chief Executive Officer and President
of FSI in December 1999, was appointed a director of FSI in
March 2000 and became Chairman of the Board of Directors for FSI
in January 2002. From its formation in 1998 until December 1999,
he was President of Air Products Electronic Chemicals, Inc., a
division of Pennsylvania-based Air Products and Chemicals, Inc.
From 1991 to 1998, he served as President of Schumacher, a
leading global chemical equipment and services supplier to the
semiconductor industry. Throughout his career with Schumacher,
he held various executive positions, including Vice President of
Operations and Vice President of Sales and Marketing.
Mr. Mitchell is a director of FSI and is also a director of
Advanced Materials Sciences, Inc. Mr. Mitchell served as
the 1999/2000 Chairman of the Board of Directors for
Semiconductor Equipment and Materials International, a leading
global industry trade association and was a member of the Board
until July 2005. |
|
(4) |
|
Benno Sand has served as Executive Vice President, Business
Development and Investor Relations since January 2000. He has
served as Executive Vice President since January 1992 and
Secretary since March 2002. Mr. Sand also served as Chief
Administrative Officer from January 1998 to December 1999, as
Chief Financial Officer from October 1990 to January 1998, and
as Vice President of Finance from October 1987 to January 1992.
Mr. Sand is a director of various FSI-owned United States
and foreign subsidiaries, as well as Apprecia and MathStar, Inc. |
20
PART II
|
|
ITEM 5.
|
MARKET
FOR THE REGISTRANTS COMMON EQUITY AND RELATED STOCKHOLDER
MATTERS
|
Our common stock is traded on the NASDAQ Global
Marketsm
under the symbol FSII. The following table sets
forth the highest and lowest daily sale prices, as reported by
the NASDAQ Global Market for the fiscal periods indicated:
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2008
|
|
|
2007
|
|
|
|
High
|
|
|
Low
|
|
|
High
|
|
|
Low
|
|
|
Fiscal Quarter
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
First
|
|
$
|
2.73
|
|
|
$
|
1.70
|
|
|
$
|
6.90
|
|
|
$
|
5.27
|
|
Second
|
|
|
2.02
|
|
|
|
1.52
|
|
|
|
5.93
|
|
|
|
4.75
|
|
Third
|
|
|
1.82
|
|
|
|
1.25
|
|
|
|
5.25
|
|
|
|
4.07
|
|
Fourth
|
|
|
1.74
|
|
|
|
1.08
|
|
|
|
4.49
|
|
|
|
2.13
|
|
There were approximately 480 record holders of our common stock
on October 30, 2008. The closing price of our stock was
$0.42 on October 30, 2008.
We have never declared or paid cash dividends on our common
stock. We currently intend to retain all earnings for use in our
business and do not anticipate paying dividends in the
foreseeable future.*
21
|
|
ITEM 6.
|
SELECTED
CONSOLIDATED FINANCIAL DATA
|
The table that follows presents portions of our consolidated
financial statements and are not complete. You should read the
following selected consolidated financial data in conjunction
with our Consolidated Financial Statements and with
Managements Discussion and Analysis of Financial
Condition and Results of Operations included elsewhere in
this report. The Consolidated Statement of Operations data for
the years ended August 30, 2008, August 25, 2007 and
August 26, 2006, and the Consolidated Balance Sheet data as
of August 30, 2008 and August 25, 2007, are derived
from our audited consolidated financial statements, which are
included elsewhere in this report. The Consolidated Statements
of Operations data for the years ended August 27, 2005 and
August 28, 2004 and the Consolidated Balance Sheet data as
of August 26, 2006, August 27, 2005 and
August 28, 2004 are derived from our audited consolidated
financial statements which do not appear in this report. We
changed our accounting for stock compensation expense effective
August 28, 2005 in accordance with Statement of Financial
Accounting Standards SFAS No. 123R,
Share-Based Payment.
The historical results presented below are not necessarily
indicative of the results to be expected for any future fiscal
year or fiscal period.
Selected
Historical Financial Data
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Fiscal Year Ended
|
|
|
|
August 30,
|
|
|
August 25,
|
|
|
August 26,
|
|
|
August 27,
|
|
|
August 28,
|
|
|
|
2008(6)(9)
|
|
|
2007(6)(8)
|
|
|
2006(6)
|
|
|
2005
|
|
|
2004
|
|
|
|
(In thousands, except per share amounts)
|
|
|
Consolidated Statements of Operations Data:
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Sales
|
|
$
|
78,256
|
|
|
$
|
116,233
|
|
|
$
|
113,241
|
|
|
$
|
86,370
|
|
|
$
|
114,404
|
|
Gross margin(1)
|
|
|
32,985
|
|
|
|
47,123
|
|
|
|
52,850
|
|
|
|
39,994
|
|
|
|
59,020
|
|
Selling, general, and administrative expenses(2)
|
|
|
29,012
|
|
|
|
34,542
|
|
|
|
36,218
|
|
|
|
35,291
|
|
|
|
39,547
|
|
Research and development expenses
|
|
|
18,962
|
|
|
|
24,086
|
|
|
|
24,321
|
|
|
|
22,078
|
|
|
|
22,458
|
|
Gain on sale of facility(3)
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
7,015
|
|
|
|
|
|
Operating loss
|
|
|
(14,989
|
)
|
|
|
(11,505
|
)
|
|
|
(7,689
|
)
|
|
|
(10,360
|
)
|
|
|
(2,985
|
)
|
Impairment of investments(5)(7)(10)
|
|
|
(353
|
)
|
|
|
(4,088
|
)
|
|
|
(500
|
)
|
|
|
|
|
|
|
|
|
Gain on marketable securities(4)
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
5,808
|
|
|
|
1,972
|
|
Equity in earnings (losses) of affiliates
|
|
|
|
|
|
|
27
|
|
|
|
(274
|
)
|
|
|
450
|
|
|
|
779
|
|
Net (loss) income
|
|
$
|
(13,639
|
)
|
|
$
|
(14,586
|
)
|
|
$
|
(7,287
|
)
|
|
$
|
(3,302
|
)
|
|
$
|
141
|
|
(Loss) income per share diluted
|
|
$
|
(0.45
|
)
|
|
$
|
(0.48
|
)
|
|
$
|
(0.24
|
)
|
|
$
|
(0.11
|
)
|
|
$
|
0.00
|
|
Weighted average common shares used in per share
calculations diluted
|
|
|
30,648
|
|
|
|
30,413
|
|
|
|
30,042
|
|
|
|
29,928
|
|
|
|
30,315
|
|
Consolidated Balance Sheets Data:
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Total assets
|
|
$
|
87,653
|
|
|
$
|
101,404
|
|
|
$
|
127,544
|
|
|
$
|
123,461
|
|
|
$
|
140,410
|
|
Total long-term debt
|
|
|
|
|
|
|
616
|
|
|
|
|
|
|
|
|
|
|
|
750
|
|
Stockholders equity
|
|
|
67,658
|
|
|
|
80,766
|
|
|
|
93,972
|
|
|
|
99,136
|
|
|
|
110,372
|
|
Dividends
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
(1) |
|
We had sales of POLARIS system product inventory that had
previously been written down to zero with an original cost of
$0.9 million during fiscal 2008, $0.9 million during
fiscal 2007, $2.1 million during fiscal 2006,
$0.05 million during fiscal 2005, and $3.2 million
during fiscal 2004. |
|
(2) |
|
During fiscal 2004, we recorded $3.4 million in selling,
general and administrative expenses related to a patent
litigation settlement. |
|
(3) |
|
During fiscal 2005, we recorded a $7.0 million gain on the
sale of the Allen, Texas facility. |
22
|
|
|
(4) |
|
During fiscal 2005, we recorded a gain of $5.8 million on
the Nortem (formerly Metron Technology) distributions. During
fiscal 2004, we recorded a gain of $2.0 million on the sale
of Metron Technology common stock. |
|
(5) |
|
During fiscal 2006, we recorded an impairment charge of
$0.5 million in other expense related to an investment in a
Malaysian foundry. |
|
(6) |
|
Due to the implementation of SFAS 123R as of
August 28, 2005, we recorded stock-based compensation
expense of $43,000 in cost of goods sold, $401,000 in selling,
general and administrative expenses and $121,000 in research and
development expenses in fiscal 2008, $28,000 in cost of goods
sold, $439,000 in selling, general and administrative expenses
and $126,000 in research and development expenses during fiscal
2007 and $54,000 in cost of goods sold, $743,000 in selling,
general and administrative expenses and $342,000 in research and
development expenses during fiscal 2006. |
|
(7) |
|
During fiscal 2007, we recorded an impairment and loss on sale
of investment of $4.1 million related to transactions with
Apprecia. See Note 3 of the Notes to Consolidated Financial
Statements for a discussion of our ownership of Apprecia. |
|
(8) |
|
During fiscal 2007, we recorded severance and outplacement costs
of $296,000 to cost of goods sold, $923,000 to selling, general
and administrative expense and $592,000 to research and
development expense. See Note 17 of the Notes to
Consolidated Financial Statements for a discussion of these
costs. |
|
(9) |
|
During fiscal 2008, we recorded severance and outplacement costs
of $142,000 to cost of goods sold, $1,314,000 to selling,
general and administrative expense and $536,000 to research and
development expense. See Note 17 of the Notes to
Consolidated Financial Statements for a discussion of these
costs. |
|
(10) |
|
During fiscal 2008, we recorded an other than temporary
impairment of $353,000 related to our investment in auction rate
securities. See Note 18 of the Notes to Consolidated
Financial Statements for a discussion of this impairment. |
|
|
ITEM 7.
|
MANAGEMENTS
DISCUSSION AND ANALYSIS OF FINANCIAL CONDITION AND RESULTS OF
OPERATIONS
|
Application
of Critical Accounting Policies and Estimates
In accordance with Securities and Exchange Commission guidance,
those material accounting policies that we believe are the most
critical to an investors understanding of our financial
results and condition and require complex management judgment
are discussed below.
Our critical accounting policies and estimates are as follows:
|
|
|
|
|
revenue recognition;
|
|
|
|
valuation of long-lived assets;
|
|
|
|
estimation of valuation allowances and accrued liabilities,
specifically product warranty, inventory provisions and
allowance for doubtful accounts;
|
|
|
|
stock-based compensation; and
|
|
|
|
income taxes.
|
Revenue
Recognition
We recognize revenue when persuasive evidence of an arrangement
exists, delivery has occurred or services have been rendered,
the purchase price is fixed or determinable and collectibility
is reasonably assured. If our equipment sales involve sales to
our existing customers who have previously accepted the same
type(s) of equipment with the same type(s) of specifications, we
account for the product sales as a multiple element arrangement.
Revenue from multiple element arrangements is allocated among
the separate accounting units based on the residual method.
Under the residual method, the revenue is allocated to
undelivered elements based on fair value of such undelivered
elements and the residual amounts of revenue allocated to
delivered elements. We recognize the equipment revenue upon
shipment and transfer of title. The other
23
multiple elements also include installation, service contracts
and training. Equipment installation revenue is valued based on
estimated service person hours to complete installation and
quoted service labor rates and is recognized when the
installation has been completed and the equipment has been
accepted by the customer. Service contract revenue is valued
based on estimated service person hours to complete the service
and published or quoted service labor rates and is recognized
over the contract period. Training revenue is valued based on
quoted training class prices and is recognized when the
customers complete the training classes or when a
customer-specific training period has expired. The quoted
service labor rates and training class prices are rates actually
charged and billed to our customers.
All other product sales with customer-specific acceptance
provisions are recognized upon customer acceptance. Future
revenues may be negatively impacted if we are unable to meet
customer-specific acceptance criteria. Revenue related to spare
part sales is recognized upon shipment or delivery based on the
title transfer terms. Revenues related to maintenance and
service contracts are recognized ratably over the duration of
such contracts.
The timing and amount of revenue recognized depends on whether
revenue is recognized upon shipment versus acceptance. For
revenue recognized upon acceptance, it is dependent upon when
customer-specific criteria are met.
Valuation
of Long-Lived Assets
We assess the impairment of long-lived assets whenever events or
changes in circumstances indicate that the carrying amount may
not be recoverable, in accordance with Financial Accounting
Standards Board (FASB) SFAS No. 144,
Accounting for the Impairment or Disposal of Long-Lived
Assets. An asset or asset group is considered impaired if
its carrying amount exceeds the undiscounted future net cash
flow the asset or asset group is expected to generate. If an
asset or asset group is considered to be impaired, the
impairment to be recognized is measured by the amount by which
the carrying amount of the asset exceeds its fair value. If
estimated fair value is less than the book value, the asset is
written down to the estimated fair value and an impairment loss
is recognized.
If we determine that the carrying amount of long-lived assets,
including intangible assets, may not be recoverable, we measure
any impairment based on the fair value of the long-lived assets.
Net intangible assets and long-lived assets amounted to
$18.3 million as of August 30, 2008.
In fiscal 2008, we had positive cash flows from operations. If
our long-term future plans do not continue to yield positive
cash flows in excess of the carrying amount of our long-lived
assets, we would anticipate possible future impairments of those
assets.
Considerable management judgment is necessary in estimating
future cash flows and other factors affecting the valuation of
long-lived assets, including intangible assets, including the
operating and macroeconomic factors that may affect them. We use
historical financial information, internal plans and projections
and industry information in making such estimates.
We did not recognize any impairment charges for our long-lived
assets, including intangible assets, during fiscal 2008, 2007 or
2006. We currently believe the fair value of these long-lived
assets, including intangible assets, exceeds the carrying amount.
Product
Warranty
We record a liability for warranty claims at the time of sale.
The amount of the liability is based on the trend in the
historical ratio of claims to sales, releases of new products
and other factors. The warranty periods for new equipment
manufactured by us range from six months to two years. Special
warranty provisions are also accrued for major rework campaigns.
Although management believes the likelihood to be relatively
low, claims experience could be materially different from actual
results because of the introduction of new, more complex
products; competition or other external forces; manufacturing
changes that could impact product quality; or as of yet
unrecognized defects in products sold.
24
Warranty provisions, claims and changes in estimates for the
fiscal years ended August 30, 2008, August 25, 2007,
and August 26, 2006 were as follows (in thousands):
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
August 30,
|
|
|
August 25,
|
|
|
August 26,
|
|
|
|
2008
|
|
|
2007
|
|
|
2006
|
|
|
Beginning balance
|
|
$
|
3,811
|
|
|
$
|
3,964
|
|
|
$
|
4,117
|
|
Warranty provisions
|
|
|
1,153
|
|
|
|
1,514
|
|
|
|
2,112
|
|
Warranty claims
|
|
|
(2,207
|
)
|
|
|
(1,667
|
)
|
|
|
(2,265
|
)
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Ending balance
|
|
$
|
2,757
|
|
|
$
|
3,811
|
|
|
$
|
3,964
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Inventory
Provisions
We record provisions for inventory shrinkage and for potentially
excess, obsolete and slow moving inventory. The amounts of these
provisions are based upon historical loss trends, inventory
levels, physical inventory and cycle count adjustments, expected
product lives, forecasted sales demand and recoverability.
Results could be materially different if demand for our products
decreased because of economic or competitive conditions, length
of the industry downturn, or if products become obsolete because
of technical advancements in the industry or by us.
Since we recorded the POLARIS system product inventory
provisions as a result of the wind-down of our Microlithography
business in the second quarter of fiscal 2003, we have had sales
of POLARIS system product inventory that had previously been
written down to zero and reductions in inventory buyback
requirements of $10.7 million and have disposed of
$6.8 million of POLARIS system product inventory. The
original cost of POLARIS system product inventory available for
sale or to be disposed of as of August 30, 2008 that has
been written down to zero was approximately $8.9 million.
Allowance
for Doubtful Accounts
Management must make estimates of the uncollectibility of our
accounts receivable. The most significant risk is the risk of
sudden unexpected deterioration in the financial condition of a
significant customer who is not considered in the allowance.
Management specifically analyzes accounts receivable and
analyzes historical bad debts, customer concentrations, customer
credit-worthiness, current economic trends and changes in our
customer payment terms when evaluating the adequacy of the
allowance for doubtful accounts. Results could be materially
impacted if the financial condition of a significant customer
deteriorated and related accounts receivable are deemed
uncollectible. Accounts receivable are charged off after
management determines that they are uncollectible.
A rollforward of the allowance for doubtful accounts for the
fiscal years ended August 30, 2008, August 25, 2007
and August 26, 2006 is as follows (in thousands):
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Balance at
|
|
|
|
|
|
|
|
|
|
|
|
Balance at
|
|
|
|
Beginning of
|
|
|
|
|
|
|
|
|
Write-
|
|
|
End of
|
|
|
|
Year
|
|
|
Recoveries
|
|
|
Adjustments
|
|
|
Offs
|
|
|
Year
|
|
|
Fiscal year ended August 30, 2008
|
|
$
|
196
|
|
|
$
|
(68
|
)
|
|
$
|
|
|
|
$
|
|
|
|
$
|
128
|
|
Fiscal year ended August 25, 2007
|
|
$
|
520
|
|
|
$
|
(55
|
)
|
|
$
|
(43
|
)
|
|
$
|
(226
|
)
|
|
$
|
196
|
|
Fiscal year ended August 26, 2006
|
|
$
|
922
|
|
|
$
|
(336
|
)
|
|
$
|
(29
|
)
|
|
$
|
(37
|
)
|
|
$
|
520
|
|
We collected $68,000 of receivables in fiscal 2008, $55,000 in
fiscal 2007 and $336,000 in fiscal 2006 that had previously been
written off resulting in credits to selling, general and
administrative expenses.
Stock-Based
Compensation
We implemented the fair value recognition provisions of
SFAS No. 123R effective August 28, 2005 using the
modified prospective method. Under this method, we recognize
compensation expense for all stock-based awards granted on or
after August 28, 2005 and for previously granted awards not
yet vested as of August 28,
25
2005. We recorded stock compensation expense of $565,000 in
fiscal 2008, $593,000 in fiscal 2007 and $1.1 million in
fiscal 2006.
We utilize a Black-Scholes option-pricing model to estimate fair
value of each award on the date of grant. The Black-Scholes
model requires the input of certain assumptions that involve
management judgment. Key assumptions that affect the calculation
of fair value include the expected life of stock-based awards
and our stock price volatility. Additionally, we expense for
only those shares expected to vest. The assumptions used in
calculating the fair value of stock-based awards and the
forfeiture rate of such awards reflect managements best
estimates. However, circumstances may change and additional data
may become available over time, which could result in changes to
these assumptions that materially impact the fair value
determination of future awards or their estimated rate of
forfeiture. If factors change and we use different assumptions
in the application of SFAS 123R in future periods, the
compensation expense recorded under SFAS 123R may differ
significantly from the expense recorded in the current period.
See Note 12 of Notes to Consolidated Financial Statements
for additional information on stock-based compensation.
Income
Taxes
Our effective income tax rate is based on income, statutory tax
rates and tax planning opportunities available to us in the
various jurisdictions in which we operate. We have established
valuation allowances against a portion of the U.S. and
non-U.S. net
operating losses to reflect the uncertainty of our ability to
fully utilize these benefits given the limited carryforward
periods permitted by the various jurisdictions. The evaluation
of the realizability of our net operating losses requires the
use of considerable management judgment to estimate the future
taxable income for the various jurisdictions, for which the
ultimate amounts and timing of such estimates may differ. The
valuation allowance can also be impacted by changes in the tax
regulations.
Significant judgment is required in determining our unrecognized
tax benefits. We have established accruals using
managements best judgment and adjust these accruals as
warranted by changing facts and circumstances. A change in our
tax liabilities in any given period could have a significant
impact on our results of operations and cash flows for that
period.
We adopted the provisions of FASB Interpretation No. 48
(FIN 48), Accounting for Uncertainty in
Income Taxes an Interpretation of FASB Statement
No. 109, during the first quarter of fiscal 2008.
During fiscal 2008, we effectively settled tax audits in foreign
tax jurisdictions which resulted in a $0.6 million decrease
in the accrual for unrecognized tax benefits. The benefit was
partially offset by state income tax expense and foreign tax
expense.
Industry
Update
Leading industry analysts in the semiconductor and equipment
industry have very disparate opinions and forecasts regarding
the state of our industry. Recently, Gartner, Inc., a leading
equipment industry research group, forecasted 2009 equipment
spending to decrease 9.0% from the 2008 level; whereas, another
leading analyst is forecasting declines of 20% to 30% from 2008
levels. The forecasts provided by analysts are impacted by
macroeconomic developments, including the current difficulties
in global credit markets, a decline in many individuals
investment portfolios, political uncertainty and an overall
increase in unemployment rates. These conditions have adversely
impacted consumer confidence and spending on technology. Many
semiconductor manufacturers are reducing their production and
factory utilization levels are declining. Some device
manufacturers are eliminating less productive fabrications and
considering consolidation and joint venture opportunities.
Increasingly, device manufacturers are adopting fabrication
light (semiconductor manufacturers that produce devices in their
own facilities and also outsource a portion of the manufacturing
to third parties) or other outsourcing philosophies. Despite the
overall decrease in equipment spending, leading device
manufacturers spending for technology advancement appears
to be continuing. It appears that the decline in demand for our
spare parts and services has reached a bottom, and our customers
are focused on controlling inventory levels while evaluating
products that can provide increased productivity when market
conditions
26
improve.* Given the tight credit environment, customers are
evaluating products and technology more carefully prior to
making any long term investment.
Overview
Industry conditions were weaker than anticipated in our fourth
quarter of fiscal 2008 as oversupply in the memory segment and
macro-economic conditions took a toll on our customers. As a
result of order delays from several customers during the
quarter, orders were $13.6 million and revenues decreased
to $14.1 million.
The protracted industry downturn led to our implementation of
cost reductions in September 2008, including a reduction in our
headcount by approximately 63 positions, representing 14% of our
global workforce as of the end of the third quarter of fiscal
2008. In conjunction with the staff reductions, we consolidated
our European and U.S. sales and service organizations to
better support our customers in these regions. In addition, we
refocused our Allen, Texas and Chaska, Minnesota resources
toward the products that we believe provide the most significant
opportunity for near-term revenue and future marketshare gains.
We anticipate that the cost reduction plan will result in $5.0
to $6.0 million of annual cost savings.*
While deteriorating economic and industry conditions impacted
our overall order level and financial performance in fiscal
2008, progress was made on many of the strategies we rolled out
at the beginning of the fiscal year. Due to effective cash
management strategies, we generated $1.0 million of cash
from operations. On the commercial side, we shipped several
ZETA®
systems with our new high temperature
ViPRtm
technology to customers in Korea, Japan and Europe. On the
development front, we shipped our first multi-chamber
ORION®
single wafer wet system to a leading manufacturer for use in
32nm development.
Results
of Operations
Sales
Revenue and Shipments
Fiscal 2008 sales revenue decreased to $78.3 million as
compared to $116.2 million in fiscal 2007. The decrease in
sales revenue in fiscal 2008 related to the decline in shipments
from $116.9 million in fiscal 2007 to $77.9 million in
fiscal 2008 associated with industry conditions. Fiscal 2007
sales revenue increased to $116.2 million as compared to
$113.2 million in fiscal 2006. The increase in sales in
fiscal 2007 related to an increase in international sales of
$9.3 million, partially offset by a $6.3 million
decrease in domestic sales.
Shipments were $77.9 million in fiscal 2008 as compared to
$116.9 million in fiscal 2007 and $109.7 million in
fiscal 2006.
Based upon our revenue recognition policy, certain shipments to
customers are not recognized until customer acceptance.
Therefore, depending on timing of shipments and customer
acceptances, there are time periods where shipments may exceed
sales revenue or due to timing of acceptances, sales revenue may
exceed shipments.
International sales were $59.3 million for fiscal 2008,
representing 76% of total sales during fiscal 2008,
$79.6 million for fiscal 2007, representing 69% of total
sales during fiscal 2007, and $70.4 million for fiscal
2006, representing 62% of total sales during fiscal 2006. The
decrease in fiscal 2008 international sales dollar amount as
compared to fiscal 2007 was related to decreases in Europe, and
Southeast Asia and Japan of $21.6 million, partially offset
by an increase of $1.6 million in Korea. The increase in
fiscal 2007 international sales as compared to fiscal 2006 was
related to increases in Asia and Europe. Due to its broader
customer base, SC products have a higher percentage of
international sales than POLARIS system products. See
Note 14 of the Notes to Consolidated Financial Statements
for additional information regarding our international sales.
We ended fiscal 2008 with a backlog of approximately
$5.6 million as compared to $15.2 million at the end
of fiscal 2007. Backlog consists of orders with delivery dates
within the next 12 months for which a customer purchase
order has been received. Because of the timing and relative size
of orders and the possibility of cancellations or customer
delays, backlog is not necessarily indicative of sales for
future periods.
We expect first quarter fiscal 2009 orders to be between
$13 million and $15 million.* This assumes the receipt
of several follow-on orders that are anticipated late in the
quarter.* We expect first quarter fiscal 2009
27
revenue to be in the range of $13 million to
$15 million.* Achieving the revenue range is subject to us
receiving purchase orders and obtaining timely acceptance from
customers.
Gross
Margin
Our gross profit margin fluctuates due to a number of factors,
including the mix of products sold; the geographic mix of
products sold, with international sales generally having lower
gross profit than domestic sales; initial product placement
discounts; utilization of manufacturing capacity; sales of
POLARIS system product inventory previously written down to
zero; and the competitive pricing environment.
Gross margin as a percentage of sales was 42.1% for fiscal 2008
as compared to 40.5% for fiscal 2007 and 46.7% for fiscal 2006.
The increase in gross margin from fiscal 2007 to fiscal 2008
related primarily to a change in product mix in which the sale
of spare parts and service represented 34% of our total sales in
fiscal 2008 as compared to 26% in fiscal 2007, as spare parts
and service generally have higher margins. This positive impact
was partially offset by a decrease in utilization of
manufacturing capacity in fiscal 2008 as compared to fiscal 2007
related to the decline in shipments from $116.9 million in
fiscal 2007 to $77.9 million in fiscal 2008. Severance
costs included in cost of sales were $142,000 in fiscal 2008 as
compared to $300,0000 in fiscal 2007. We had sales of POLARIS
system inventory previously written down to zero of
$0.9 million in fiscal 2008 and 2007.
The decrease in gross margins from fiscal 2006 to fiscal 2007
related to an increase in the percentage of international sales
from 62% of total sales in fiscal 2006 to 69% of total sales in
fiscal 2007 and a $1.0 million increase in inventory
reserves associated with a decline in bookings. The decrease
also related to a decrease in sales of POLARIS system product
inventory previously written down to zero from $2.1 million
in fiscal 2006 to $0.9 million in fiscal 2007 and
$0.3 million of severance costs in fiscal 2007.
We will continue to try to sell the POLARIS system product
inventory that had previously been written down to zero to our
customers as spares, refurbished systems and upgrades to
existing systems. If unsuccessful, some of the items will be
disposed. Any material sales of the impaired inventory will be
disclosed. The original cost of POLARIS system product inventory
available for sale or to be disposed of as of August 30,
2008 that has been written down to zero was approximately
$8.9 million.
We expect the gross profit margins for the first quarter of
fiscal 2009 to be between 40% to 42% of revenues.* Our factory
utilization rate is not expected to change significantly.*
Selling,
General and Administrative Expenses
Selling, general and administrative expenses in fiscal 2008 were
$29.0 million, or 37.1% of total sales, as compared to
$34.5 million, or 29.7% of total sales, in fiscal 2007 and
$36.2 million, or 32.0% of total sales, in fiscal 2006. The
decrease in selling, general and administrative expense in
fiscal 2008 as compared to fiscal 2007 related to the decrease
in sales and the cost reduction initiatives associated with
reductions in headcount taken in the second half of fiscal 2007,
partially offset by realignment expenses of $1.3 million in
fiscal 2008 compared to severance costs of $0.9 million in
fiscal 2007. The decrease in selling, general and administrative
expenses in fiscal 2007 as compared to fiscal 2006 related
primarily to savings associated with cost reduction efforts
associated with headcount reductions taken in the second half of
fiscal 2007, partially offset by $0.9 million of severance
costs.
Selling, general and administrative expenses for the first
quarter of fiscal 2009 are expected to be in the range of
$6.3 million to $6.5 million, reflecting two months of
savings related to the September cost reduction actions.*
Research
and Development Expenses
Research and development expenses for fiscal 2008 were
$19.0 million, or 24.2% of total sales, as compared to
$24.1 million, or 20.7% of total sales, in fiscal 2007 and
$24.3 million, or 21.5% of total sales, in fiscal 2006. The
decrease in fiscal 2008 as compared to fiscal 2007 related
primarily to cost reduction efforts associated with headcount
reductions taken in the second half of fiscal 2007, partially
offset by
28
$0.5 million of severance costs in fiscal 2008. The
decrease in fiscal 2007 as compared to fiscal 2006 related
primarily to cost reduction efforts associated with headcount
reductions, partially offset by $0.6 million of severance
costs.
A significant portion of our fiscal 2008 research and
development resources were focused on broadening the
applications capabilities of our products and supporting initial
product placements with customers. In addition, we continued the
development of our
ORION®
single wafer wet system.
We expect research and development expenses to range from
$4.3 million to $4.5 million for the first quarter of
fiscal 2009, as we continue to invest in our
ZETA®
ViPRtm
and
ORION®
single wafer programs while sustaining minimum resources to
support our other products.*
Impairment
and Loss on Sale of Investment
We recorded $4.1 million of impairment and loss on the sale
of investment in fiscal 2007 related to transactions with
Apprecia. See further discussion related to the transactions and
the impairment at Note 3 of the Notes to Consolidated
Financial Statements.
We recorded a $0.5 million impairment of an investment in
fiscal 2006. We had an investment in a Malaysian foundry that
was accounted for under the cost method. The investment was
$0.5 million as of August 27, 2005. On March 22,
2006, the majority shareholder of this Malaysian foundry
announced that the foundry would merge with another foundry and
form a new entity. Subsequent to the merger announcement, we
were contacted by the majority shareholder and given the option
of selling our shares at a nominal value to the majority
shareholder or providing additional debt to the foundry as part
of a pre-merger restructuring. Based on this information, we
deemed the investment as being fully impaired as of
February 25, 2006 and recorded a loss of $0.5 million
in the second quarter of fiscal 2006. We sold our shares in the
foundry at a nominal value to the majority shareholder during
the third quarter of fiscal 2006.
Income
Tax Expense
We recorded an income tax benefit of $624,000 in fiscal 2008
related to uncertain tax positions that were effectively settled
with tax authorities during fiscal 2008, partially offset by
state income tax expense and foreign tax expense. We recorded
income tax expense of $122,000 in fiscal 2007 and $50,000 in
fiscal 2006, primarily as a result of foreign and state taxes.
As of August 30, 2008 and August 25, 2007, we had
$600,000 and $1.3 million, respectively, of liabilities
recorded related to unrecognized tax benefits. Accrued interest
and penalties on these unrecognized tax benefits were
$0.1 million and $0.2 million as of August 30,
2008 and August 25, 2007, respectively. We recognize
potential interest and penalties related to income tax
positions, if any, as a component of provision for income taxes
on the consolidated statements of operations. Included in the
liability balance as of August 30, 2008 are approximately
$0.6 million of unrecognized tax benefits that, if
recognized, will affect our effective tax rate.
Our deferred tax assets on the balance sheet as of
August 30, 2008 have been fully reserved for with a
valuation allowance. We do not expect to reduce our valuation
allowance until we are consistently profitable on a quarterly
basis.*
We have net operating loss carryforwards for federal income tax
purposes of approximately $163.5 million at August 30,
2008, which will begin to expire in fiscal 2011 through fiscal
2029 if not utilized. Of this amount, approximately
$15.0 million is subject to Internal Revenue Code
Section 382 limitations on utilization, which limits the
amount that we can offset taxable income to approximately
$1.4 million per year.
Equity
in Earnings (Loss) of Affiliate
Equity in earnings (loss) of affiliates was approximately
$27,000 for fiscal 2007 and ($274,000) for fiscal 2006. The
losses in fiscal 2006 related primarily to a decrease in
Apprecia sales, as well as a change in product mix.
29
After May 15, 2007, we discontinued recording equity in
earnings (loss) of affiliate due to transactions with Apprecia.
See further discussion of our relationship with Apprecia at
Note 3 of the Notes to Consolidated Financial Statements.
Net
Loss
Net loss was $13.6 million in fiscal 2008 as compared to a
net loss of $14.6 million in fiscal 2007 and a net loss of
$7.3 million in fiscal 2006. The decrease in net loss in
fiscal 2008 as compared to fiscal 2007 and the increase in net
losses in fiscal 2007 as compared to fiscal 2006 are due to the
net impact of items discussed above, as well as the impairment
and loss on sale of investment of $4.1 million related to
transactions with Apprecia in fiscal 2007. The decrease in net
loss in fiscal 2008 as compared to fiscal 2007 also related to a
net income tax benefit of $624,000 in fiscal 2008 as compared to
income tax expense of $122,000 in fiscal 2007.
Based upon achieving anticipated revenue, gross margin and
operating expense levels, we expect to record a net loss of
$3.5 million to $5.0 million in the first quarter of
fiscal 2009.*
Liquidity
and Capital Resources
Our cash, restricted cash, cash equivalents and marketable
securities were approximately $22.9 million as of
August 30, 2008, a decrease of $1.6 million from the
end of fiscal 2007. The net decrease was primarily due to
$1.7 million in capital expenditures and $0.8 million
of principal payments on capital leases. The decreases were net
of $1.0 million of cash generated from operating activities
and $0.4 million of proceeds from the issuance of common
stock.
As of August 30, 2008, we had investments in auction rate
securities (ARS) reported at a fair value of
$7.3 million after reflecting a $0.4 million other
than temporary impairment against $7.7 million par value.
The other than temporary impairment has been recorded in other
expense for fiscal 2008. We value the majority of our ARS using
a mark-to-model approach that relies on discounted cash flows,
market data and inputs derived from similar instruments. This
model takes into account, among other variables, the base
interest rate, credit spreads, downgrade risks and
default/recovery risk, the estimated time required to work out
the disruption in the traditional auction process and its effect
on liquidity, and the effects of insurance and other credit
enhancements. However, we value certain ARS based on the price
at which the issuer offered to repurchase such ARS in a
conditional tender offer we received in October 2008 from the
issuer.
The ARS we hold are marketable securities with long-term stated
maturities for which the interest rates are reset through a
Dutch auction every 28 days. The auctions have historically
provided a liquid market for these securities as investors
historically could readily sell their investments at auction.
Due to the liquidity issues experienced in global credit and
capital markets, the ARS held by us have experienced multiple
failed auctions, beginning on February 19, 2008, as the
amount of securities submitted for sale has exceeded the amount
of purchase orders. During the second quarter of fiscal 2008, we
reclassified $8.5 million of ARS from current marketable
securities to long-term marketable securities on the condensed
consolidated balance sheet due to difficulties encountered at
auction and the conditions in the general debt markets, creating
uncertainty as to when successful auctions may be reestablished.
During the third and fourth quarters of fiscal 2008,
$0.8 million of ARS were partially redeemed. An additional
$0.7 million were redeemed subsequent to August 30,
2008. The ARS related to these redemptions were reclassified to
short-term marketable securities as of August 30, 2008.
All of the ARS held by us continue to carry investment grade
ratings and have not experienced any payment defaults. Of the
ARS held by us, $6.8 million par value are backed by
student loans and are collateralized, insured and guaranteed by
the United States Federal Department of Education and are
classified as long-term. The remaining $0.9 million par
value relate to manufactured housing and are collateralized by
the principal housing contract trusts associated with the
related loans and are insured by third parties and are
classified as short-term. ARS that did not successfully auction
reset to the maximum interest rate as prescribed in the
underlying indenture and all of our holdings continue to be
current with their interest payments. If uncertainties in the
credit and capital markets continue, these markets deteriorate
further or any ARS we hold
30
are downgraded by the rating agencies, the Company may be
required to recognize additional impairment charges.
In addition, these ARS may not provide the liquidity to us as we
need it, and it could take until the final maturity of the
underlying notes (from 5 to 35 years) to realize our
investments recorded value. Currently, there is a very
limited market for any of these securities and future
liquidations at this time, if possible, would likely be at a
significant discount.
Accounts receivable decreased $8.0 million from the end of
fiscal 2007. The decrease in trade accounts receivable related
primarily to the decrease in shipments from $21.9 million
in the fourth quarter of fiscal 2007 to $13.1 million in
the fourth quarter of fiscal 2008. Trade receivables will
fluctuate quarter to quarter depending on individual
customers timing of ship dates, payment terms and cash
flow conditions.
Inventory decreased approximately $2.4 million to
$27.2 million at the end of fiscal 2008, as compared to
$29.6 million at the end of fiscal 2007. The decrease in
inventory related to decreases in finished goods, work in
process and raw materials inventory attributable to fewer
orders, as well as an increase in inventory provisions.
Inventory provisions were $15.9 million at August 30,
2008 as compared to provisions of $14.7 million at the end
of fiscal 2007. The increase in inventory provisions related to
the decrease in bookings and current industry conditions.
Trade accounts payable increased approximately $0.8 million
to $4.3 million as of August 30, 2008, as compared to
$3.5 million at the end of fiscal 2007, related to the
timing of inventory receipts and vendor payments.
Deferred profit was $3.9 million at the end of fiscal 2008
and $3.3 million at the end of fiscal 2007. The increase in
deferred profit related primarily to the timing of tool
acceptances.
As of August 30, 2008, our current ratio was 3.1 to 1.0,
and working capital was $41.4 million.
The following table provides aggregate information about our
contractual payment obligations and the periods in which
payments are due (in thousands):
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Payments Due by Period
|
|
|
|
|
|
|
Less Than
|
|
|
1-3
|
|
|
3-5
|
|
|
More Than
|
|
Contractual Obligations
|
|
Total
|
|
|
1 Year
|
|
|
Years
|
|
|
Years
|
|
|
5 Years
|
|
|
Operating Lease Obligations
|
|
$
|
1,702
|
|
|
$
|
1,042
|
|
|
$
|
627
|
|
|
$
|
33
|
|
|
$
|
|
|
Capital Lease Obligations
|
|
|
878
|
|
|
|
878
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Purchase Obligations
|
|
|
3,256
|
|
|
|
3,256
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Royalty Obligations
|
|
|
312
|
|
|
|
312
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Other Long-Term Obligations(1)
|
|
|
1,625
|
|
|
|
125
|
|
|
|
500
|
|
|
|
500
|
|
|
|
500
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Total
|
|
$
|
7,773
|
|
|
$
|
5,613
|
|
|
$
|
1,127
|
|
|
$
|
533
|
|
|
$
|
500
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
(1) |
|
Other long-term obligations represent payments related to
minimum royalty payments or discounts granted under a license
agreement. |
The contractual obligations table above does not include
$0.6 million accruals for unrecognized tax benefits, as the
timing of payments or reversals is uncertain.
Capital expenditures were $1.7 million in fiscal 2008,
$1.6 million in fiscal 2007, and $2.2 million in
fiscal 2006. We expect capital expenditures to be insignificant
in the first quarter of fiscal 2009.* Depreciation and
amortization is expected to be between approximately
$1.0 million and $1.1 million in the first quarter of
fiscal 2009.*
In October 2008, we authorized the use of up to $3 million
of our cash to repurchase outstanding shares of our common stock
to be effected from time to time in transactions in the public
markets or in private purchases. The timing and extent of any
repurchases will depend upon market conditions, the trading
price of our shares and other factors, subject to the
restrictions relating to volume, price and timing of share
31
repurchases under applicable law. The repurchase program may be
modified, suspended or terminated at any time by us without
notice.
At the expected revenue and expense run rate, we anticipate
using $3.5 million to $5.5 million of cash for
operations in the first quarter of fiscal 2009.* We believe that
with existing cash, cash receipts, cash equivalents, marketable
securities and internally generated funds, there will be
sufficient funds to meet our currently projected working capital
requirements, and to meet other cash requirements through at
least fiscal 2009.* We believe that success in our industry
requires substantial capital to maintain the flexibility to take
advantage of opportunities as they arise. One of our strategic
objectives is, as market and business conditions warrant, to
consider divestitures, investments or acquisitions of
businesses, products or technologies.* We may fund such
activities with additional equity or debt financing.* The sale
of additional equity or debt securities, whether to maintain
flexibility or to meet strategic objectives, could result in
additional dilution to our shareholders.* We currently do not
have a line of credit arrangement.
Off-Balance
Sheet Arrangements
We do not have any off balance sheet arrangements.
New
Accounting Pronouncements
In September 2006, the Securities and Exchange Commission
(SEC) issued Staff
Accounting Bulletin No. 108
(SAB 108), Considering the Effects of
Prior Year Misstatements when Quantifying Misstatements in
Current Year Financial Statements, to address diversity in
practice in quantifying financial statement misstatements.
SAB No. 108 requires that we quantify misstatements
based on their impact on each of our financial statements and
related disclosures. SAB No. 108 is effective as of
the end of fiscal year 2006, allowing a one-time transitional
cumulative effect adjustment to retained earnings as of
August 27, 2006 for errors that were not previously deemed
material, but are material under the guidance in
SAB No. 108. We applied the provisions of SAB 108
using the cumulative effect transaction method in connection
with the preparation of our annual financial statements for the
year ended August 25, 2007. The application of SAB 108
resulted in a $310,000 decrease in accrued professional fees and
other accrued expenses and a corresponding decrease in
accumulated deficit as of August 27, 2006.
In June 2006, the FASB issued Interpretation No. 48
(FIN 48), Accounting for Uncertainty in
Income Taxes an interpretation of FASB Statement
No. 109. This interpretation clarifies the accounting
for uncertainty in income taxes recognized in an
enterprises financial statements in accordance with FASB
Statement No. 109, Accounting for Income Taxes.
This interpretation prescribes a recognition threshold and
measurement attribute for the financial statement recognition
and measurement of a tax position taken or expected to be taken
in a tax return. It also provides guidance on derecognition,
classification, interest and penalties, accounting in interim
periods, disclosure and transition. FIN 48 is effective for
fiscal years beginning after December 15, 2006. We adopted
the provisions of FIN 48 as of August 26, 2007, the
first day of the first quarter of fiscal 2008. The adoption of
FIN 48 had no impact on our financial position or results
of operation. We, along with our subsidiaries, are subject to
U.S. federal income tax as well as income tax of numerous
state and foreign jurisdictions.
In September 2006, the FASB issued SFAS No. 157,
Fair Value Measurements. SFAS No. 157
establishes a single authoritative definition of fair value,
sets out a framework for measuring fair value and requires
additional disclosures about fair-value measurements. This
statement applies only to fair-value measurements that are
already required or permitted by other accounting standards,
except for measurements of share-based payments and measurements
that are similar to, but not intended to be, fair value. This
statement is expected to increase the consistency of fair value
measurements, but imposes no requirements for additional
fair-value measures in financial statements. The provisions
under SFAS No. 157 are effective for us beginning in
the first quarter of fiscal 2009. We do not expect the adoption
of this pronouncement to have a significant impact on our
consolidated financial statements.
In February 2007, the FASB issued SFAS No. 159,
The Fair Value Option for Financial Assets and Financial
Liabilities. SFAS No. 159 amends
SFAS No. 115, Accounting for Certain Investments
in Debt and
32
Equity Securities, and permits entities to choose to
measure many financial instruments and certain other items at
fair value. SFAS No. 159 is effective for us beginning
in the first quarter of fiscal 2009. We do not plan to measure
any of our financial instruments at fair value as permitted
under SFAS 159.
In December 2007, the FASB issued SFAS 141 (revised 2007)
(SFAS 141R), Business Combinations,
and SFAS 160, Noncontrolling Interests in
Consolidated Financial Statements, to improve, simplify,
and converge internationally the accounting for business
combinations and the reporting of noncontrolling interests in
consolidated financial statements, respectively. The provisions
of SFAS 141R and SFAS 160 are effective for us
beginning in the first quarter of fiscal 2010. We are still
evaluating the impact the adoption of these pronouncements will
have on our consolidated financial statements.
|
|
ITEM 7A.
|
QUANTITATIVE
AND QUALITATIVE DISCLOSURES ABOUT MARKET RISK
|
Our cash flows and earnings are subject to fluctuations in
foreign exchange rates due to certain foreign investments. As of
August 30, 2008, our investments included a 100% interest
in our Europe and Asia sales and service offices and a 20%
interest in Apprecia Technology, Inc. (formerly known as
mFSI LTD), which operates in Japan. We denominate the
majority of our sales outside of the U.S. in
U.S. dollars.
We have direct sales, service and applications support and
logistics responsibilities for our products in Europe and the
Asia-Pacific regions and incur labor, service and other expenses
in foreign currencies. As a result, we may be exposed to
fluctuations in foreign exchange rate risks.* As of
August 30, 2008, we had not entered into any hedging
activities and our foreign currency transaction gains and losses
for fiscal 2008 were insignificant. We are currently evaluating
various hedging activities and other options to minimize these
risks.
We do not have significant exposure to changing interest rates
as we currently have no material long-term debt. As of the end
of fiscal 2008, amortized cost approximated market value for all
outstanding marketable securities. We do not undertake any
specific actions to cover our exposure to interest rate risk and
we are not party to any interest rate risk management
transactions. The impact on loss before income taxes of a 1%
change in short-term interest rates would be approximately
$229,000 based on our cash, restricted cash, cash equivalents
and marketable securities balances as of August 30, 2008.
As of August 30, 2008, our investment portfolio included
ARS reported at a fair value of $7.3 million after
reflecting a $0.4 million other than temporary impairment
against $7.7 million par value. ARS are usually found in
the form of municipal bonds, preferred stock, a pool of student
loans or collateralized debt obligations. The interest rates of
our ARS are reset every 28 days through an auction process
and at the end of each reset period, investors can sell or
continue to hold the securities at par.
Of the ARS held by us, $6.8 million par value are backed by
student loans and are collateralized, insured and guaranteed by
the United States Federal Department of Education. The remaining
$0.9 million par value relate to manufactured housing and
are collateralized by the principal housing contract trusts
associated with the related loans and are insured by third
parties. All ARS held by us are rated by the major independent
rating agencies and carry investment grade ratings and have not
experienced any payment defaults.
All of our ARS have experienced failed auctions due to sell
orders exceeding buy orders. These failures are not believed to
be a credit issue, but rather reflect a lack of liquidity in the
market for these securities. Under the contractual terms, the
issuer is obligated to pay penalty interest rates should an
auction fail. In the event we need to access funds associated
with failed auctions, they are not expected to be accessible
until a successful auction occurs, the issuer redeems the issue,
a buyer is found outside of the auction process or the
underlying securities have matured and are paid upon maturity in
accordance with their terms.
We determined and recorded an other than temporary impairment of
approximately $0.4 million as of August 30, 2008. If
the issuers of the ARS are unable to successfully close future
auctions or do not redeem the ARS, or the United States
government fails to support its guaranty of the obligations, we
may be required to record additional impairment charges.
33
|
|
ITEM 8.
|
FINANCIAL
STATEMENTS AND SUPPLEMENTARY DATA
|
FSI
INTERNATIONAL, INC. & SUBSIDIARIES
CONSOLIDATED
STATEMENTS OF OPERATIONS
Years
Ended August 30, 2008, August 25, 2007 and
August 26, 2006
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2008
|
|
|
2007
|
|
|
2006
|
|
|
|
(In thousands, except per share amounts)
|
|
|
Sales (including sales to affiliates of $0, $5,355, and $5,924,
respectively)
|
|
$
|
78,256
|
|
|
$
|
116,233
|
|
|
$
|
113,241
|
|
Cost of goods sold
|
|
|
45,271
|
|
|
|
69,110
|
|
|
|
60,391
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Gross margin
|
|
|
32,985
|
|
|
|
47,123
|
|
|
|
52,850
|
|
Selling, general and administrative expenses
|
|
|
29,012
|
|
|
|
34,542
|
|
|
|
36,218
|
|
Research and development expenses
|
|
|
18,962
|
|
|
|
24,086
|
|
|
|
24,321
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Operating loss
|
|
|
(14,989
|
)
|
|
|
(11,505
|
)
|
|
|
(7,689
|
)
|
Interest expense
|
|
|
(144
|
)
|
|
|
(196
|
)
|
|
|
(43
|
)
|
Interest income
|
|
|
918
|
|
|
|
916
|
|
|
|
1,132
|
|
Impairment and/or loss on sale of investments
|
|
|
(353
|
)
|
|
|
(4,088
|
)
|
|
|
(500
|
)
|
Other income, net
|
|
|
305
|
|
|
|
382
|
|
|
|
137
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Loss before income taxes
|
|
|
(14,263
|
)
|
|
|
(14,491
|
)
|
|
|
(6,963
|
)
|
Income tax (benefit) expense
|
|
|
(624
|
)
|
|
|
122
|
|
|
|
50
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Loss before equity in earnings (loss) of affiliate
|
|
|
(13,639
|
)
|
|
|
(14,613
|
)
|
|
|
(7,013
|
)
|
Equity in earnings (loss) of affiliate
|
|
|
|
|
|
|
27
|
|
|
|
(274
|
)
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Net loss
|
|
$
|
(13,639
|
)
|
|
$
|
(14,586
|
)
|
|
$
|
(7,287
|
)
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Loss per share
|
|
|
|
|
|
|
|
|
|
|
|
|
Basic
|
|
$
|
(0.45
|
)
|
|
$
|
(0.48
|
)
|
|
$
|
(0.24
|
)
|
Diluted
|
|
$
|
(0.45
|
)
|
|
$
|
(0.48
|
)
|
|
$
|
(0.24
|
)
|
Weighted average common shares basic
|
|
|
30,648
|
|
|
|
30,413
|
|
|
|
30,042
|
|
Weighted average common shares diluted
|
|
|
30,648
|
|
|
|
30,413
|
|
|
|
30,042
|
|
The accompanying notes are an integral part of the consolidated
financial statements.
34
FSI
INTERNATIONAL, INC. & SUBSIDIARIES
CONSOLIDATED
BALANCE SHEETS
|
|
|
|
|
|
|
|
|
|
|
August 30,
|
|
|
August 25,
|
|
|
|
2008
|
|
|
2007
|
|
|
|
(In thousands)
|
|
|
ASSETS
|
Current assets:
|
|
|
|
|
|
|
|
|
Cash and cash equivalents
|
|
$
|
14,788
|
|
|
$
|
15,040
|
|
Restricted cash
|
|
|
275
|
|
|
|
151
|
|
Marketable securities
|
|
|
850
|
|
|
|
8,800
|
|
Trade accounts receivable, less allowance for doubtful accounts
of $128 and $196, respectively
|
|
|
9,614
|
|
|
|
17,609
|
|
Inventories, net
|
|
|
27,169
|
|
|
|
29,625
|
|
Other receivables
|
|
|
4,813
|
|
|
|
4,551
|
|
Prepaid expenses and other current assets
|
|
|
3,339
|
|
|
|
2,951
|
|
|
|
|
|
|
|
|
|
|
Total current assets
|
|
|
60,848
|
|
|
|
78,727
|
|
|
|
|
|
|
|
|
|
|
Property, plant and equipment, net
|
|
|
18,266
|
|
|
|
20,022
|
|
Restricted cash
|
|
|
500
|
|
|
|
500
|
|
Long-term marketable securities
|
|
|
6,447
|
|
|
|
|
|
Investment
|
|
|
460
|
|
|
|
460
|
|
Intangible assets, net
|
|
|
61
|
|
|
|
496
|
|
Other assets
|
|
|
1,071
|
|
|
|
1,199
|
|
|
|
|
|
|
|
|
|
|
Total assets
|
|
$
|
87,653
|
|
|
$
|
101,404
|
|
|
|
|
|
|
|
|
|
|
|
LIABILITIES AND STOCKHOLDERS EQUITY
|
Current liabilities:
|
|
|
|
|
|
|
|
|
Trade accounts payable
|
|
$
|
4,305
|
|
|
$
|
3,458
|
|
Accrued expenses
|
|
|
10,392
|
|
|
|
11,365
|
|
Current portion of capital lease obligations
|
|
|
841
|
|
|
|
561
|
|
Customer deposits
|
|
|
7
|
|
|
|
1,306
|
|
Deferred profit
|
|
|
3,867
|
|
|
|
3,332
|
|
|
|
|
|
|
|
|
|
|
Total current liabilities
|
|
|
19,412
|
|
|
|
20,022
|
|
Long-term accrued expenses
|
|
|
583
|
|
|
|
|
|
Capital lease obligations
|
|
|
|
|
|
|
616
|
|
Commitments and contingencies (Notes 4 and 19)
|
|
|
|
|
|
|
|
|
Stockholders equity:
|
|
|
|
|
|
|
|
|
Preferred stock, no par value; 9,700 shares authorized;
none issued and outstanding
|
|
|
|
|
|
|
|
|
Series A Junior Participating Preferred stock, no par
value; 300 shares authorized; none issued and outstanding
|
|
|
|
|
|
|
|
|
Common stock, no par value; 50,000 shares authorized;
issued and outstanding, 30,839 and 30,545 shares,
respectively
|
|
|
226,352
|
|
|
|
225,974
|
|
Accumulated deficit
|
|
|
(159,967
|
)
|
|
|
(146,328
|
)
|
Accumulated other comprehensive loss
|
|
|
(997
|
)
|
|
|
(575
|
)
|
Other stockholders equity
|
|
|
2,270
|
|
|
|
1,695
|
|
|
|
|
|
|
|
|
|
|
Total stockholders equity
|
|
|
67,658
|
|
|
|
80,766
|
|
|
|
|
|
|
|
|
|
|
Total liabilities and stockholders equity
|
|
$
|
87,653
|
|
|
$
|
101,404
|
|
|
|
|
|
|
|
|
|
|
The accompanying notes are an integral part of the consolidated
financial statements.
35
FSI
INTERNATIONAL, INC. & SUBSIDIARIES
CONSOLIDATED
STATEMENTS OF STOCKHOLDERS EQUITY AND COMPREHENSIVE
LOSS
Years
Ended August 30, 2008, August 25, 2007 and
August 26, 2006
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Accumulated
|
|
|
|
|
|
|
|
|
|
Common Stock
|
|
|
|
|
|
Other
|
|
|
Other
|
|
|
|
|
|
|
Number of
|
|
|
|
|
|
Accumulated
|
|
|
Comprehensive
|
|
|
Stockholders
|
|
|
|
|
|
|
Shares
|
|
|
Amount
|
|
|
Deficit
|
|
|
(Loss) Income
|
|
|
Equity
|
|
|
Total
|
|
|
|
(In thousands)
|
|
|
Balance August 27, 2005
|
|
|
29,874
|
|
|
$
|
223,675
|
|
|
$
|
(124,765
|
)
|
|
$
|
226
|
|
|
$
|
|
|
|
$
|
99,136
|
|
Stock issuance
|
|
|
435
|
|
|
|
1,494
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1,494
|
|
Comprehensive loss:
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Foreign currency translation adjustment
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
(444
|
)
|
|
|
|
|
|
|
(444
|
)
|
Net loss
|
|
|
|
|
|
|
|
|
|
|
(7,287
|
)
|
|
|
|
|
|
|
|
|
|
|
(7,287
|
)
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Total comprehensive loss
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
(7,731
|
)
|
Stock compensation expense
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1,073
|
|
|
|
1,073
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Balance August 26, 2006
|
|
|
30,309
|
|
|
|
225,169
|
|
|
|
(132,052
|
)
|
|
|
(218
|
)
|
|
|
1,073
|
|
|
|
93,972
|
|
Cumulative effect adjustment as a result of the adoption of
SAB 108
|
|
|
|
|
|
|
|
|
|
|
310
|
|
|
|
|
|
|
|
|
|
|
|
310
|
|
Stock issuance
|
|
|
236
|
|
|
|
805
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
805
|
|
Comprehensive loss:
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Foreign currency translation adjustment
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
(357
|
)
|
|
|
|
|
|
|
(357
|
)
|
Net loss
|
|
|
|
|
|
|
|
|
|
|
(14,586
|
)
|
|
|
|
|
|
|
|
|
|
|
(14,586
|
)
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Total comprehensive loss
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
(14,943
|
)
|
Stock compensation expense
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
622
|
|
|
|
622
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Balance August 25, 2007
|
|
|
30,545
|
|
|
|
225,974
|
|
|
|
(146,328
|
)
|
|
|
(575
|
)
|
|
|
1,695
|
|
|
|
80,766
|
|
Stock issuance
|
|
|
294
|
|
|
|
378
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
378
|
|
Comprehensive loss:
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Foreign currency translation adjustment
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
(422
|
)
|
|
|
|
|
|
|
(422
|
)
|
Net loss
|
|
|
|
|
|
|
|
|
|
|
(13,639
|
)
|
|
|
|
|
|
|
|
|
|
|
(13,639
|
)
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Total comprehensive loss
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
(14,061
|
)
|
Stock compensation expense
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
575
|
|
|
|
575
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Balance August 30, 2008
|
|
|
30,839
|
|
|
$
|
226,352
|
|
|
$
|
(159,967
|
)
|
|
$
|
(997
|
)
|
|
$
|
2,270
|
|
|
$
|
67,658
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
The accompanying notes are an integral part of the consolidated
financial statements.
36
FSI
INTERNATIONAL, INC. & SUBSIDIARIES
CONSOLIDATED
STATEMENTS OF CASH FLOWS
Years
Ended August 30, 2008, August 25, 2007 and
August 26, 2006
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2008
|
|
|
2007
|
|
|
2006
|
|
|
|
(In thousands)
|
|
|
Operating Activities
|
|
|
|
|
|
|
|
|
|
|
|
|
Net loss
|
|
$
|
(13,639
|
)
|
|
$
|
(14,586
|
)
|
|
$
|
(7,287
|
)
|
Adjustments to reconcile net loss to net cash provided by (used
in) operating activities:
|
|
|
|
|
|
|
|
|
|
|
|
|
Stock compensation expense
|
|
|
565
|
|
|
|
593
|
|
|
|
1,139
|
|
Impairment and/or loss on sale of investments
|
|
|
353
|
|
|
|
4,088
|
|
|
|
500
|
|
Depreciation
|
|
|
3,818
|
|
|
|
3,663
|
|
|
|
3,389
|
|
Amortization
|
|
|
436
|
|
|
|
508
|
|
|
|
538
|
|
Equity in (earnings) loss of affiliate
|
|
|
|
|
|
|
(27
|
)
|
|
|
274
|
|
Loss (gain) on sale or disposal of equipment
|
|
|
9
|
|
|
|
(17
|
)
|
|
|
|
|
Changes in operating assets and liabilities:
|
|
|
|
|
|
|
|
|
|
|
|
|
Restricted cash
|
|
|
(124
|
)
|
|
|
(6
|
)
|
|
|
138
|
|
Trade accounts receivable
|
|
|
7,995
|
|
|
|
5,564
|
|
|
|
2,056
|
|
Inventories
|
|
|
2,455
|
|
|
|
6,057
|
|
|
|
(10,965
|
)
|
Prepaid expenses and other current assets
|
|
|
(650
|
)
|
|
|
3,837
|
|
|
|
(3,226
|
)
|
Trade accounts payable
|
|
|
846
|
|
|
|
(5,345
|
)
|
|
|
3,600
|
|
Accrued expenses
|
|
|
(307
|
)
|
|
|
(3,520
|
)
|
|
|
2,463
|
|
Customer deposits
|
|
|
(1,299
|
)
|
|
|
(4,102
|
)
|
|
|
4,189
|
|
Deferred profit
|
|
|
536
|
|
|
|
(817
|
)
|
|
|
(1,071
|
)
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Net cash provided by (used in) operating activities
|
|
|
994
|
|
|
|
(4,110
|
)
|
|
|
(4,263
|
)
|
Investing Activities
|
|
|
|
|
|
|
|
|
|
|
|
|
Capital expenditures
|
|
|
(1,702
|
)
|
|
|
(1,590
|
)
|
|
|
(2,228
|
)
|
Purchases of marketable securities
|
|
|
(49,650
|
)
|
|
|
(85,850
|
)
|
|
|
(292,250
|
)
|
Sales of marketable securities
|
|
|
50,800
|
|
|
|
88,150
|
|
|
|
301,395
|
|
Proceeds from sale of investment
|
|
|
|
|
|
|
1,238
|
|
|
|
|
|
Dividend from affiliate
|
|
|
|
|
|
|
2,047
|
|
|
|
208
|
|
Decrease (increase) in deposits and other assets
|
|
|
128
|
|
|
|
(39
|
)
|
|
|
38
|
|
Proceeds from sale of property, plant and equipment
|
|
|
|
|
|
|
17
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Net cash (used in) provided by investing activities
|
|
|
(424
|
)
|
|
|
3,973
|
|
|
|
7,163
|
|
Financing Activities
|
|
|
|
|
|
|
|
|
|
|
|
|
Net proceeds from issuance of common stock
|
|
|
378
|
|
|
|
805
|
|
|
|
1,494
|
|
Increase in restricted cash
|
|
|
|
|
|
|
(500
|
)
|
|
|
|
|
Principle payments on capital leases
|
|
|
(778
|
)
|
|
|
(511
|
)
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Net cash (used in) provided by financing activities
|
|
|
(400
|
)
|
|
|
(206
|
)
|
|
|
1,494
|
|
Effect of exchange rate on cash
|
|
|
(422
|
)
|
|
|
(289
|
)
|
|
|
(74
|
)
|
|
|
|
|
|
|
|
|
|
|
|
|
|
(Decrease) increase in cash and cash equivalents
|
|
|
(252
|
)
|
|
|
(632
|
)
|
|
|
4,320
|
|
Cash and cash equivalents at beginning of year
|
|
|
15,040
|
|
|
|
15,672
|
|
|
|
11,352
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Cash and cash equivalents at end of year
|
|
$
|
14,788
|
|
|
$
|
15,040
|
|
|
$
|
15,672
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
The accompanying notes are an integral part of the consolidated
financial statements.
37
FSI
INTERNATIONAL, INC. AND SUBSIDIARIES
NOTES TO
CONSOLIDATED FINANCIAL STATEMENTS
Fiscal
Years Ended August 30, 2008, August 25, 2007 and
August 26, 2006
|
|
(1)
|
Description
of Business and Summary of Significant Accounting
Policies
|
Description
of Business
FSI International, Inc. (the Company) is a global
supplier of surface conditioning equipment (process equipment
used to etch and clean organic and inorganic materials from the
surface of a silicon wafer) and technology and support services
for microelectronics manufacturing. The Companys broad
portfolio of batch and single-wafer cleaning products includes
process technologies for immersion (a method used to clean
silicon wafers by immersing the wafer in multiple tanks filled
with process chemicals), spray (sprays chemical mixtures, water
and nitrogen in a variety of sequences on to the microelectronic
substrate), vapor (utilizes gas phase chemistries to selectively
remove sacrificial surface films) and CryoKinetic (a momentum
transfer process used to remove non-chemically bonded particles
from the surface of a microelectronic device). The
Companys support services programs provide product and
process enhancements to extend the life of installed FSI
equipment.
The Companys customers include microelectronics
manufacturers located throughout North America, Europe, Japan
and the Asia Pacific region.
Principles
of Consolidation
The accompanying consolidated financial statements include the
accounts of FSI International, Inc. and its wholly owned
subsidiaries, FSI International Asia, Ltd., FSI International
Semiconductor Equipment Pte. Ltd., FSI International (France)
SARL, FSI International (Germany) GmbH, FSI International
(Italy) S.r.l., FSI International (Holding) B.V., FSI
International Netherlands B.V., FSI International (UK) Limited,
FSI International (Shanghai) Co., Ltd., FSI International
(Korea) Co., Ltd., FSI International Israel, Ltd., SCD Mountain
View, Inc., and Semiconductor Systems, Inc. All intercompany
balances and transactions have been eliminated in consolidation.
During fiscal 2007, the Company closed its branch office, FSI
Malaysia SDN GHD.
The Companys fiscal year ends on the last Saturday in
August and is comprised of 52 or 53 weeks. Fiscal 2008
consisted of a 53-week period. Fiscal 2007 and 2006 consisted of
52-week periods.
Revenue
Recognition
The Company recognizes revenue when persuasive evidence of an
arrangement exists, delivery has occurred or services have been
rendered, the purchase price is fixed or determinable and
collectibility is reasonably assured. If the Companys
equipment sales involve sales to its existing customers who have
previously accepted the same type(s) of equipment with the same
type(s) of specifications, the Company accounts for the product
sale as a multiple element arrangement. Revenue from multiple
element arrangements is allocated among the separate accounting
units based on the residual method. Under the residual method,
the revenue is allocated to undelivered elements based on fair
value of such undelivered elements and the residual amounts of
revenue allocated to delivered elements. The Company recognizes
the equipment revenue upon shipment and transfer of title. The
other elements may include installation, service contracts and
training. Equipment installation revenue is valued based on
estimated service person hours to complete installation and
quoted service labor rates and is recognized when the labor has
been completed and the equipment has been accepted by the
customer. Service contract revenue is valued based on estimated
service person hours to complete the service and quoted service
labor rates and is recognized over the contract period. Training
revenue is valued based on quoted training class prices and is
recognized when the customers complete the training classes or
when a customer-specific training period has expired. The quoted
service labor rates and training class prices are rates actually
charged and billed to the Companys customers.
38
FSI
INTERNATIONAL, INC. AND SUBSIDIARIES
NOTES TO
CONSOLIDATED FINANCIAL
STATEMENTS (Continued)
All other product sales with customer specific acceptance
provisions are recognized upon customer acceptance. Revenue
related to spare parts sales is recognized upon shipment or
delivery based on the title transfer terms. Revenue related to
maintenance and service contracts are recognized ratably over
the duration of the contracts.
Other
Comprehensive Loss
Other comprehensive loss pertains to revenues, expenses, gains,
and losses that are not included in net loss, but rather are
recorded directly in stockholders equity. For fiscal 2008,
2007 and 2006, other comprehensive loss consisted of foreign
currency translation adjustments.
Cash
and Cash Equivalents
All highly liquid investments purchased with an original
maturity of three months or less are considered to be cash
equivalents.
Marketable
Securities
The Company accounts for its marketable securities as
available-for-sale and reports them at fair market value. Fair
market values, other than for ARS, are based on quoted market
prices. Fair market values of the majority of the Companys
ARS are based on a mark-to-model approach. Other ARS are valued
based on the price at which the issuer offered to repurchase
such ARS in a conditional tender offer the Company received in
October 2008 from the issuer. In determining the fair market
value of its ARS, the Company has made assumptions related to
interest rates, credit worthiness of the issuer and the
Companys ability and intent to hold the investments until
recovery of fair value. Unrealized gains and losses on
available-for-sale investments are excluded from income, but are
included, net of taxes, in other comprehensive income. If an
other-than-temporary impairment is determined to
exist, the difference between the value of the investment
recorded in the financial statements and the Companys
current estimate of fair value is recognized as a charge to
earnings in the period in which the impairment is determined.
Allowance
for Doubtful Accounts
The Company makes estimates of the uncollectibility of accounts
receivable. Management specifically analyzes accounts receivable
and analyzes historical bad debts, customer concentrations,
customer credit-worthiness, current economic trends and changes
in customer payment terms when evaluating the adequacy of the
allowance for doubtful accounts. Accounts receivable are charged
off after management determines that they are uncollectible.
A rollforward of the allowance for doubtful accounts for the
fiscal years ended August 30, 2008, August 25, 2007
and August 26, 2006 is as follows (in thousands):
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Balance at
|
|
|
|
|
|
|
|
|
|
|
|
Balance at
|
|
|
|
Beginning of
|
|
|
|
|
|
|
|
|
Write-
|
|
|
End of
|
|
|
|
Year
|
|
|
Recoveries
|
|
|
Adjustments
|
|
|
Offs
|
|
|
Year
|
|
|
Fiscal year ended August 30, 2008
|
|
$
|
196
|
|
|
$
|
(68
|
)
|
|
$
|
|
|
|
$
|
|
|
|
$
|
128
|
|
Fiscal year ended August 25, 2007
|
|
$
|
520
|
|
|
$
|
(55
|
)
|
|
$
|
(43
|
)
|
|
$
|
(226
|
)
|
|
$
|
196
|
|
Fiscal year ended August 26, 2006
|
|
$
|
922
|
|
|
$
|
(336
|
)
|
|
$
|
(29
|
)
|
|
$
|
(37
|
)
|
|
$
|
520
|
|
The Company collected $68,000 of receivables in fiscal 2008,
$55,000 in fiscal 2007 and $336,000 in fiscal 2006 that had
previously been written down to zero, resulting in credits to
selling, general and administrative expenses.
39
FSI
INTERNATIONAL, INC. AND SUBSIDIARIES
NOTES TO
CONSOLIDATED FINANCIAL
STATEMENTS (Continued)
Inventories
Inventories are valued at the lower of cost, determined by the
first-in,
first-out method, or net realizable value. The Company records
provisions for inventory shrinkage and for potentially excess,
obsolete and slow moving inventory. The amounts of these
provisions are based upon historical loss trends, inventory
levels, physical inventory and cycle count adjustments, expected
product lives, forecasted sales demand and recoverability.
Property,
Plant and Equipment
Building and related costs are carried at cost and depreciated
on a straight-line basis over a 5 to
30-year
period. Leasehold improvements are carried at cost and
depreciated over a three- to fifteen-year period or the term of
the underlying lease, whichever is shorter. All other property,
plant and equipment assets are carried at cost and depreciated
on a straight-line method over their estimated economic lives.
Principal economic lives for these assets are one to seven
years. Software developed for internal use is depreciated over
three to five years beginning when the system is placed in
service. Maintenance and repairs are expensed as incurred;
significant renewals and improvements are capitalized.
Intangible
Assets
The Company amortizes intangible assets on a straight-line basis
over their estimated economic lives which range from two to nine
years.
Impairment
of Long-Lived Assets
The Company assesses the impairment of long-lived assets,
including identifiable intangible assets, whenever events or
changes in circumstances indicate that the carrying amount may
not be recoverable, in accordance with FASB
SFAS No. 144, Accounting for the Impairment or
Disposal of Long-Lived Assets. An asset or asset group is
considered impaired if its carrying amount exceeds the
undiscounted future net cash flow the asset or asset group is
expected to generate. If an asset or asset group is considered
to be impaired, the impairment to be recognized is measured by
the amount by which the carrying amount of the asset exceeds its
fair value. If estimated fair value is less than the book value,
the asset is written down to the estimated fair value and an
impairment loss is recognized.
The Company routinely considers whether indicators of impairment
of its property and equipment assets are present. If such
indicators are present, the Company determines whether the sum
of the estimated undiscounted cash flows attributable to the
asset in question is less than the carrying amount of the asset.
If less, an impairment loss is recognized based on the excess of
the carrying amount of the asset over its fair value. Fair value
is determined by discounted estimated future cash flows,
appraisals or other methods deemed appropriate. If the asset
determined to be impaired is to be held and used, the Company
recognizes an impairment charge to the extent that the carrying
amount of the asset exceeds its fair value. Net intangible
assets and long-lived assets amounted to $18.3 million as
of August 30, 2008.
Considerable management judgment is necessary in estimating
future cash flows and other factors affecting the valuation of
long-lived assets, including intangible assets, which includes
the operating and macroeconomic factors that may affect them.
The Company uses historical financial information, internal
plans and projections and industry information in making such
estimates.
Income
Taxes
Deferred income taxes are provided in amounts sufficient to give
effect to temporary differences between financial and tax
reporting. The Company accounts for tax credits as reductions of
income tax expense in the year in which such credits are
allowable for tax purposes.
40
FSI
INTERNATIONAL, INC. AND SUBSIDIARIES
NOTES TO
CONSOLIDATED FINANCIAL
STATEMENTS (Continued)
The Companys effective income tax rate is based on income,
statutory tax rates and tax planning opportunities available to
it in the various jurisdictions in which it operates. The
Company has established valuation allowances against its
U.S. and
non-U.S. net
operating losses to reflect the uncertainty of its ability to
fully utilize these benefits given the limited carryforward
periods permitted by the various jurisdictions. The evaluation
of the realizability of the Companys net operating losses
requires the use of considerable management judgment to estimate
the future taxable income for the various jurisdictions, for
which the ultimate amounts and timing of such estimates may
differ. The valuation allowance can also be impacted by changes
in the tax regulations.
As of August 26, 2007, the Company adopted the provisions
of the FASB Interpretation No. 48
(FIN 48), Accounting for Uncertainty in
Income Taxes an interpretation of FASB Statement
No. 109. The adoption of FIN 48 had no impact on
our financial position or results of operations. As of
August 30, 2008 and August 25, 2007, the Company had
$0.6 million and $1.3 million, respectively, of
liabilities recorded related to unrecognized tax benefits. The
Company does not anticipate that the total amount of
unrecognized tax benefits will significantly change during the
next twelve months.
Product
Warranty
Generally, the Company warrants to the original purchaser that
new equipment manufactured by it is free from defects in
material and workmanship for six months to two years, depending
upon the product or customer agreement. Provision is made for
the estimated cost of maintaining product warranties at the time
the product is sold. Special warranty provisions are also
accrued for major rework campaigns.
Warranty provisions, claims and changes in estimates for the
fiscal years ended August 30, 2008, August 25, 2007
and August 26, 2006 were as follows (in thousands):
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
August 30,
|
|
|
August 25,
|
|
|
August 26,
|
|
|
|
2008
|
|
|
2007
|
|
|
2006
|
|
|
Beginning balance
|
|
$
|
3,811
|
|
|
$
|
3,964
|
|
|
$
|
4,117
|
|
Warranty provisions
|
|
|
1,153
|
|
|
|
1,514
|
|
|
|
2,112
|
|
Warranty claims
|
|
|
(2,207
|
)
|
|
|
(1,667
|
)
|
|
|
(2,265
|
)
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Ending Balance
|
|
$
|
2,757
|
|
|
$
|
3,811
|
|
|
$
|
3,964
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Foreign
Currency Translation
For each of our foreign operating subsidiaries the functional
currency is generally its local currency. Assets and liabilities
of foreign operations are translated into U.S. dollars
using month-end exchange rates, and revenue and expenses are
translated into U.S. dollars using average exchange rates.
The effects of foreign currency translation adjustments are
included as a component of accumulated other comprehensive
(loss) income in stockholders equity.
Foreign currency transaction gains and losses are a result of
the effect of exchange rate changes on transactions denominated
in currencies other than the functional currency. Foreign
currency transaction gains (losses) are included in other
income, net.
Loss
Per Common Share
Basic loss per share is computed by dividing net loss by the
weighted average number of shares of common stock outstanding
during the period. Diluted loss per common share for fiscal
years 2007, 2006 and 2005 does not include the effect of
potential dilutive common shares as their inclusion would be
antidilutive. The number of potential dilutive common shares
excluded from the computation of diluted loss per share was
3,679,000 for fiscal 2008, 3,578,000 for fiscal 2007 and
3,699,000 for fiscal 2006.
41
FSI
INTERNATIONAL, INC. AND SUBSIDIARIES
NOTES TO
CONSOLIDATED FINANCIAL
STATEMENTS (Continued)
Use of
Estimates
The preparation of financial statements in conformity with
accounting principles generally accepted in the United States of
America requires management to make estimates and assumptions
that could affect the reported amounts of assets and liabilities
and disclosure of contingent assets and liabilities at the date
of the financial statements and the reported amounts of sales
revenue and expenses during the reporting period. Actual results
could differ from those estimates.
Employee
Stock Plans
On August 28, 2005, the Company adopted the fair value
recognition provisions of SFAS No. 123R,
Share-Based Payment, using the modified-prospective
method. As a result, for fiscal 2008, 2007 and 2006, the
Companys results of operations reflect compensation
expense for new stock options granted and vested under its stock
incentive plan and employees stock purchase plan during the
fiscal year and the unvested portion of previous stock option
grants which vested during the fiscal year.
New
Accounting Pronouncements
In September 2006, the SEC issued Staff Accounting
Bulletin No. 108 (SAB 108) ,
Considering the Effects of Prior Year Misstatements when
Quantifying Misstatements in Current Year Financial
Statements, to address diversity in practice in
quantifying the financial statement misstatements.
SAB No. 108 requires that companies quantify
misstatements based on their impact on each of the financial
statements and related disclosures. SAB No. 108 is
effective as of the end of fiscal year 2006, allowing a one-time
transitional cumulative effect adjustment to retained earnings
as of August 27, 2006, for errors that were not previously
deemed material, but are material under the guidance in
SAB No. 108. The Company applied the provisions of
SAB 108 using the cumulative effect transition method in
connection with the preparation of its annual financial
statements for the year ended August 25, 2007. The
application of SAB 108 resulted in a $310,000 decrease in
accrued professional fees and other accrued expenses and a
corresponding decrease in accumulated deficit as of
August 27, 2006.
In September 2006, the Financial Accounting Standards Board
(FASB) issued Statement of Financial Accounting
Standards (SFAS) No. 157, Fair Value
Measurements. SFAS No. 157 establishes a single
authoritative definition of fair value, sets out a framework for
measuring fair value and requires additional disclosures about
fair-value measurements. This statement applies only to
fair-value measurements that are already required or permitted
by other accounting standards, except for measurements of
share-based payments and measurements that are similar to, but
not intended to be, fair value. This statement is expected to
increase the consistency of fair value measurements, but imposes
no requirements for additional fair-value measures in financial
statements. The provisions under SFAS No. 157 are
effective for the Company beginning in the first quarter of
fiscal 2009. The Company does not expect the adoption of this
pronouncement to have a significant impact on its consolidated
financial statements.
In February 2007, the FASB issued SFAS No. 159,
The Fair Value Option for Financial Assets and Financial
Liabilities. SFAS No. 159 amends
SFAS No. 115, Accounting for Certain Investments
in Debt and Equity Securities, and permits entities to
choose to measure many financial instruments and certain other
items at fair value. SFAS No. 159 is effective for the
Company beginning in the first quarter of fiscal 2009. The
Company does not plan to measure any of its financial
instruments at fair value as permitted under SFAS 159.
In December 2007, the FASB issued SFAS 141 (revised 2007)
(SFAS 141R), Business Combinations,
and SFAS 160, Noncontrolling Interests in
Consolidated Financial Statements, to improve, simplify,
and converge internationally the accounting for business
combinations and the reporting of noncontrolling interests in
consolidated financial statements, respectively. The provisions
of SFAS 141R and SFAS 160 are effective
42
FSI
INTERNATIONAL, INC. AND SUBSIDIARIES
NOTES TO
CONSOLIDATED FINANCIAL
STATEMENTS (Continued)
for the Company beginning in the first quarter of fiscal 2010.
The Company is still evaluating the impact the adoption of these
pronouncements will have on its consolidated financial
statements.
|
|
(2)
|
Concentration
of Risk and Financial Instruments
|
Financial instruments that potentially subject the Company to
significant concentrations of credit risk consist principally of
cash equivalents, marketable securities and trade accounts
receivable.
The Companys customers consist of microelectronics
manufacturers located throughout the world. The Company performs
ongoing credit evaluations of its customers financial
conditions and generally requires no collateral from them. The
Company maintains an allowance for doubtful accounts receivable
based upon expected collectibility of all accounts receivable.
The Company invests in a variety of financial instruments such
as municipal bonds, auction-rate securities, commercial paper
and money market fund shares. The Company, by policy, limits the
amount of credit exposure with any one financial or commercial
issuer.
The carrying amount of the Companys financial instruments,
which includes cash equivalents, short-term marketable
securities, accounts receivable, accounts payable and accrued
expenses, approximate fair value at August 30, 2008, due to
their short maturities.
As of August 30, 2008 and August 25, 2007, all
marketable securities were classified as available-for-sale. The
carrying amount of short-term marketable securities was $850,000
as of August 30, 2008 and $8,800,000 as of August 25,
2007. The carrying amount of long-term marketable securities was
$6,447,000 as of August 30, 2008 and $0 as of
August 25, 2007. The balance as of August 30, 2008 is
net of an other-than-temporary impairment of $353,000. See
Note 18 of the Notes to Consolidated Financial Statements
for further discussion related to the impairment.
Gross unrealized holding gains were immaterial as of
August 30, 2008 and August 25, 2007. The Company
manages its cash equivalents and short-term investments as a
single portfolio of highly marketable securities, all of which
are intended to be available to meet the Companys current
cash requirements.
|
|
(3)
|
Related
Party Transactions
|
Prior to the transaction described below, the Company owned a
49 percent equity interest in Apprecia Technology, Inc.
(Apprecia), formerly known as mFSI LTD, a
Japanese joint venture company formed in 1991 among the Company,
Mitsui & Co., Ltd. (Mitsui) and
Mitsuis wholly owned subsidiary, Chlorine Engineers Corp.,
Ltd. (CEC). Apprecia is engaged in the manufacturing
and distribution in the Japanese market of semiconductor
equipment and products, including certain products of the
Company. On May 15, 2007 (the Closing Date),
the Company, CEC, Mizuho Capital Co., Ltd, (Mizuho),
The Yasuda Enterprise Development III, Limited Partnership
(Yasuda) and certain Apprecia managers
(Apprecia Management Group) entered into a Stock
Purchase Agreement (the Agreement). The Apprecia
Management Group did not include any officers or employees of
the Company. Under the Agreement, Apprecia paid on the Closing
Date, a $4.2 million dividend to its shareholders prior to
the sales contemplated in the Agreement, of which the Company
received approximately $2.0 million. Under the Agreement,
CEC and MBK Project Holdings Ltd. (MPH), a wholly
owned subsidiary of Mitsui, sold all of their combined
51 percent equity ownership in Apprecia and the Company
sold 28.4 percent of its equity ownership in Apprecia, or a
total of 79.4 percent, to Yasuda, Mizuho and the Apprecia
Management Group for a total purchase price of
$1.8 million. On the Closing Date, the Company received
total proceeds of $3.2 million, net of applicable taxes. At
the end of fiscal 2007, the Company had a 20% equity ownership
in Apprecia. As a result of the transaction, the Companys
ownership and business relationship with Apprecia changed such
that the Company no longer had the ability to exercise
significant influence over Apprecia. Therefore, the Company
began to account for its investment in Apprecia under the cost
method after completion of the transaction. Previously, the
Company
43
FSI
INTERNATIONAL, INC. AND SUBSIDIARIES
NOTES TO
CONSOLIDATED FINANCIAL
STATEMENTS (Continued)
accounted for its investment in Apprecia under the equity
method. On the Closing Date, the Company entered into a
Termination and Release Agreement with Mitsui, CEC, MPH and
Apprecia, for the termination of the following agreements and
any amendments thereto:
(i) the Apprecia Distribution Agreement, dated
September 17, 2004, providing the Company with the
exclusive rights to distribute Apprecia surface conditioning
products outside of Japan,
(ii) the FSI Distribution Agreement, dated June 5,
1991, providing Apprecia with exclusive rights to distribute the
Company surface conditioning products in Japan,
(iii) the Apprecia License Agreement, dated
September 17, 2004, pursuant to which Apprecia granted to
the Company a license to certain Apprecia intellectual property
and technology,
(iv) the FSI License Agreement, dated June 5, 1991,
pursuant to which the Company granted to Apprecia a license to
certain of the Companys intellectual property and
technology, and
(v) the Shareholders Agreement, dated June 5, 1991,
among the Company, CEC and MPH related to the establishment of
Apprecia.
The Company and Apprecia also entered into a new distribution
agreement, with an initial five-year term, providing Apprecia
with the exclusive right to sell, lease or otherwise distribute
the Companys SC products in Japan.
The Company sold approximately $5,355,000 in fiscal 2007 and
$5,924,000 in fiscal 2006 of its products in the aggregate to
Apprecia as an affiliate.
The Company has capital and operating lease agreements for
equipment and manufacturing and office facilities. The future
net minimum lease payments for all leases with noncancellable
lease terms in excess of one year at August 30, 2008 are as
follows (in thousands):
|
|
|
|
|
|
|
|
|
|
|
Operating
|
|
|
Capital
|
|
|
|
Leases
|
|
|
Leases
|
|
|
Fiscal Year Ending August:
|
|
|
|
|
|
|
|
|
2009
|
|
$
|
1,042
|
|
|
$
|
878
|
|
2010
|
|
|
395
|
|
|
|
|
|
2011
|
|
|
232
|
|
|
|
|
|
2012
|
|
|
29
|
|
|
|
|
|
2013
|
|
|
4
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Total minimum lease payments
|
|
$
|
1,702
|
|
|
$
|
878
|
|
|
|
|
|
|
|
|
|
|
Less imputed interest
|
|
|
|
|
|
|
37
|
|
|
|
|
|
|
|
|
|
|
Total lease obligations
|
|
|
|
|
|
$
|
841
|
|
|
|
|
|
|
|
|
|
|
Rental expense for all operating leases consisted of the
following (in thousands):
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Fiscal Year Ended
|
|
|
|
August 30,
|
|
|
August 25,
|
|
|
August 26,
|
|
|
|
2008
|
|
|
2007
|
|
|
2006
|
|
|
Rent expense for operating leases
|
|
$
|
1,578
|
|
|
$
|
1,723
|
|
|
$
|
1,735
|
|
44
FSI
INTERNATIONAL, INC. AND SUBSIDIARIES
NOTES TO
CONSOLIDATED FINANCIAL
STATEMENTS (Continued)
Inventories are summarized as follows (in thousands):
|
|
|
|
|
|
|
|
|
|
|
August 30,
|
|
|
August 25,
|
|
|
|
2008
|
|
|
2007
|
|
|
Finished goods
|
|
$
|
1,999
|
|
|
$
|
3,614
|
|
Work in process
|
|
|
9,319
|
|
|
|
10,961
|
|
Subassemblies
|
|
|
4,992
|
|
|
|
3,480
|
|
Raw materials and purchased parts
|
|
|
10,859
|
|
|
|
11,570
|
|
|
|
|
|
|
|
|
|
|
|
|
$
|
27,169
|
|
|
$
|
29,625
|
|
|
|
|
|
|
|
|
|
|
|
|
(6)
|
Property,
Plant and Equipment
|
The components of property, plant and equipment are as follows
(in thousands):
|
|
|
|
|
|
|
|
|
|
|
August 30,
|
|
|
August 25,
|
|
|
|
2008
|
|
|
2007
|
|
|
Land
|
|
$
|
224
|
|
|
$
|
224
|
|
Building and leasehold improvements
|
|
|
33,185
|
|
|
|
33,079
|
|
Office furniture and equipment
|
|
|
4,240
|
|
|
|
4,410
|
|
Computer hardware and software
|
|
|
17,972
|
|
|
|
18,727
|
|
Manufacturing equipment
|
|
|
1,954
|
|
|
|
1,955
|
|
Lab equipment
|
|
|
20,731
|
|
|
|
19,948
|
|
Tooling
|
|
|
265
|
|
|
|
265
|
|
Capital programs in progress
|
|
|
505
|
|
|
|
43
|
|
|
|
|
|
|
|
|
|
|
|
|
|
79,076
|
|
|
|
78,651
|
|
Less accumulated depreciation and amortization
|
|
|
(60,810
|
)
|
|
|
(58,629
|
)
|
|
|
|
|
|
|
|
|
|
|
|
$
|
18,266
|
|
|
$
|
20,022
|
|
|
|
|
|
|
|
|
|
|
Capital programs in progress as of August 30, 2008 included
$442,000 of assets acquired under a capital lease. Lab equipment
as of August 30, 2008 and August 25, 2007 included
$1,687,000 of assets acquired under a capital lease.
The Company amortizes intangible assets on a straight-line basis
over their estimated economic lives, which range from two to
nine years. The estimated aggregate amortization of intangible
assets for fiscal 2009 is $61,000.
45
FSI
INTERNATIONAL, INC. AND SUBSIDIARIES
NOTES TO
CONSOLIDATED FINANCIAL
STATEMENTS (Continued)
The Company has no intangible assets with indefinite useful
lives. Intangible assets as of August 30, 2008 and
August 25, 2007 consist of the following (in thousands):
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
As of August 30, 2008
|
|
|
|
Gross
|
|
|
|
|
|
Net
|
|
|
|
Carrying
|
|
|
Accumulated
|
|
|
Carrying
|
|
|
|
Amount
|
|
|
Amortization
|
|
|
Amount
|
|
|
Developed technology
|
|
$
|
9,150
|
|
|
$
|
9,150
|
|
|
$
|
|
|
Patents
|
|
|
4,285
|
|
|
|
4,224
|
|
|
|
61
|
|
License fees
|
|
|
500
|
|
|
|
500
|
|
|
|
|
|
Other
|
|
|
420
|
|
|
|
420
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
$
|
14,355
|
|
|
$
|
14,294
|
|
|
$
|
61
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
As of August 25, 2007
|
|
|
|
Gross
|
|
|
|
|
|
Net
|
|
|
|
Carrying
|
|
|
Accumulated
|
|
|
Carrying
|
|
|
|
Amount
|
|
|
Amortization
|
|
|
Amount
|
|
|
Developed technology
|
|
$
|
9,150
|
|
|
$
|
9,150
|
|
|
$
|
|
|
Patents
|
|
|
4,285
|
|
|
|
3,789
|
|
|
|
496
|
|
License fees
|
|
|
500
|
|
|
|
500
|
|
|
|
|
|
Other
|
|
|
420
|
|
|
|
420
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
$
|
14,355
|
|
|
$
|
13,859
|
|
|
$
|
496
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Accrued expenses are summarized as follows (in thousands):
|
|
|
|
|
|
|
|
|
|
|
August 30,
|
|
|
August 25,
|
|
|
|
2008
|
|
|
2007
|
|
|
Salaries and benefits
|
|
$
|
1,934
|
|
|
$
|
2,123
|
|
Vacation
|
|
|
1,582
|
|
|
|
1,566
|
|
Realignment
|
|
|
1,991
|
|
|
|
660
|
|
Product warranty
|
|
|
2,757
|
|
|
|
3,811
|
|
Income taxes
|
|
|
38
|
|
|
|
1,175
|
|
Other
|
|
|
2,090
|
|
|
|
2,030
|
|
|
|
|
|
|
|
|
|
|
|
|
$
|
10,392
|
|
|
$
|
11,365
|
|
|
|
|
|
|
|
|
|
|
Deferred profit as of the end of the fiscal year consists of (in
thousands):
|
|
|
|
|
|
|
|
|
|
|
August 30,
|
|
|
August 25,
|
|
|
|
2008
|
|
|
2007
|
|
|
Deferred revenue
|
|
$
|
5,439
|
|
|
$
|
5,826
|
|
Deferred cost of goods sold
|
|
|
(1,572
|
)
|
|
|
(2,494
|
)
|
|
|
|
|
|
|
|
|
|
Deferred profit
|
|
$
|
3,867
|
|
|
$
|
3,332
|
|
|
|
|
|
|
|
|
|
|
46
FSI
INTERNATIONAL, INC. AND SUBSIDIARIES
NOTES TO
CONSOLIDATED FINANCIAL
STATEMENTS (Continued)
Loss before income taxes and equity in earnings (losses) of
affiliate was derived from the following sources (in thousands):
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Fiscal Year Ended
|
|
|
|
August 30,
|
|
|
August 25,
|
|
|
August 26,
|
|
|
|
2008
|
|
|
2007
|
|
|
2006
|
|
|
Domestic
|
|
$
|
(14,526
|
)
|
|
$
|
(10,574
|
)
|
|
$
|
(3,521
|
)
|
Foreign
|
|
|
263
|
|
|
|
(3,917
|
)
|
|
|
(3,442
|
)
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
$
|
(14,263
|
)
|
|
$
|
(14,491
|
)
|
|
$
|
(6,963
|
)
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Income tax expense is summarized as follows (in thousands):
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Fiscal Year Ended
|
|
|
|
August 30,
|
|
|
August 25,
|
|
|
August 26,
|
|
|
|
2008
|
|
|
2007
|
|
|
2006
|
|
|
Current:
|
|
|
|
|
|
|
|
|
|
|
|
|
Foreign
|
|
$
|
(598
|
)
|
|
$
|
102
|
|
|
$
|
|
|
State
|
|
|
(26
|
)
|
|
|
20
|
|
|
|
50
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
(624
|
)
|
|
|
122
|
|
|
|
50
|
|
Deferred:
|
|
|
|
|
|
|
|
|
|
|
|
|
Foreign
|
|
|
|
|
|
|
|
|
|
|
|
|
State
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
$
|
(624
|
)
|
|
$
|
122
|
|
|
$
|
50
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
The tax effects of temporary differences that give rise to
significant portions of the deferred tax assets and deferred tax
liabilities at August 30, 2008 and August 25, 2007 are
as follows (in thousands):
|
|
|
|
|
|
|
|
|
|
|
August 30,
|
|
|
August 25,
|
|
|
|
2008
|
|
|
2007
|
|
|
Deferred tax assets:
|
|
|
|
|
|
|
|
|
Inventory
|
|
$
|
6,695
|
|
|
$
|
6,460
|
|
Deferred profit
|
|
|
833
|
|
|
|
491
|
|
Accounts receivable
|
|
|
48
|
|
|
|
74
|
|
Property, plant and equipment, net
|
|
|
428
|
|
|
|
195
|
|
Credit carryforwards
|
|
|
6,640
|
|
|
|
5,498
|
|
Net operating loss carryforwards
|
|
|
61,050
|
|
|
|
62,750
|
|
Accruals
|
|
|
1,608
|
|
|
|
2,127
|
|
|
|
|
|
|
|
|
|
|
Total gross deferred tax assets
|
|
|
77,302
|
|
|
|
77,595
|
|
Deferred tax liabilities:
|
|
|
|
|
|
|
|
|
Intangibles
|
|
|
23
|
|
|
|
189
|
|
Other, net
|
|
|
371
|
|
|
|
131
|
|
Investment in foreign affiliate
|
|
|
118
|
|
|
|
118
|
|
|
|
|
|
|
|
|
|
|
Total gross deferred tax liabilities
|
|
|
512
|
|
|
|
438
|
|
Less valuation allowance
|
|
|
(76,790
|
)
|
|
|
(77,157
|
)
|
|
|
|
|
|
|
|
|
|
Net deferred tax assets
|
|
$
|
|
|
|
$
|
|
|
|
|
|
|
|
|
|
|
|
47
FSI
INTERNATIONAL, INC. AND SUBSIDIARIES
NOTES TO
CONSOLIDATED FINANCIAL
STATEMENTS (Continued)
The effective income tax expense differs from the expected
statutory federal income tax as follows (in thousands):
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Fiscal Year Ended
|
|
|
|
August 30,
|
|
|
August 25,
|
|
|
August 26,
|
|
|
|
2008
|
|
|
2007
|
|
|
2006
|
|
|
Expected federal income tax benefit
|
|
$
|
(4,992
|
)
|
|
$
|
(5,072
|
)
|
|
$
|
(2,437
|
)
|
State income tax benefit before valuation allowance
|
|
|
(383
|
)
|
|
|
(413
|
)
|
|
|
(185
|
)
|
Research activities credit
|
|
|
(250
|
)
|
|
|
(390
|
)
|
|
|
(50
|
)
|
Nondeductable transfer pricing adjustments
|
|
|
809
|
|
|
|
|
|
|
|
|
|
Valuation allowance
|
|
|
4,614
|
|
|
|
5,627
|
|
|
|
2,261
|
|
Stock compensation expense
|
|
|
198
|
|
|
|
207
|
|
|
|
|
|
Foreign withholding tax
|
|
|
48
|
|
|
|
102
|
|
|
|
|
|
Tax contingency
|
|
|
(709
|
)
|
|
|
|
|
|
|
|
|
Other items, net
|
|
|
41
|
|
|
|
61
|
|
|
|
461
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
$
|
(624
|
)
|
|
$
|
122
|
|
|
$
|
50
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
We adopted the provisions of FIN 48 on August 26,
2007. Implementation of FIN 48 resulted in no adjustment to
the liability for unrecognized tax benefits. A reconciliation of
the beginning and ending amount of total gross unrecognized tax
benefits is as follows (in thousands):
|
|
|
|
|
Balance at August 26, 2007
|
|
$
|
1,290
|
|
Increase related to prior year tax position
|
|
|
18
|
|
Decrease related to prior year tax position
|
|
|
(64
|
)
|
Increase related to current year tax positions
|
|
|
|
|
Settlements
|
|
|
(663
|
)
|
|
|
|
|
|
Balance at August 30, 2008
|
|
$
|
581
|
|
|
|
|
|
|
The Company recorded a tax benefit of $624,000 in fiscal 2008
related to tax positions that were effectively settled with tax
authorities during fiscal 2008, partially offset by state income
tax expense. The Company recorded a tax liability of $122,000
for fiscal 2007 and $50,000 for fiscal 2006, which was the
result of foreign and state taxes.
The Company has net operating loss carryforwards for federal
income tax purposes of approximately $163.5 million at
August 30, 2008, which will begin to expire in fiscal 2011
through fiscal 2029 if not utilized. Of this amount,
approximately $15.0 million is subject to Internal Revenue
Code Section 382 limitations on utilization. This
limitation is approximately $1.4 million per year. The
Company has net operating loss carryforwards for state purposes
of approximately $74.4 million, which will expire at
various times, beginning with fiscal year 2009, if not utilized.
The Company maintains a valuation allowance to fully reserve
against its net deferred tax assets due to uncertainty over the
ability to realize these assets. The change in the valuation
allowance during the fiscal year 2008 was $0.4 million.
Included in the August 30, 2008 valuation allowance balance
of $76.8 million is $3.6 million, which will be
recorded as a credit to stockholders equity, if it is
determined in the future that this portion of the valuation
allowance is no longer required.
48
FSI
INTERNATIONAL, INC. AND SUBSIDIARIES
NOTES TO
CONSOLIDATED FINANCIAL
STATEMENTS (Continued)
The Company has an Employee 401(k) Retirement Plan, which allows
for discretionary profit sharing contributions, covering
eligible employees. Contributions under the plans are 3% or at
the discretion of the Board of Directors. Beginning in January
2005, the Company contributed 3% of employee salaries to the
401(k). The Company contributed approximately $738,000 in fiscal
2008, $877,000 in fiscal 2007 and $869,000 in fiscal 2006.
In addition, the Company has statutory pension plans in Europe
and Asia.
In fiscal 2008, the Companys shareholders approved the
2008 Omnibus Stock Plan (the 2008 Plan), which
authorizes stock-based awards (Awards) to purchase
up to 1,000,000 shares of the Companys common stock.
Under the Plan, the Plan Committee has the power to make Awards,
to determine when and to whom Awards will be granted, the form
of each Award, the amount of each Award, and any other terms or
conditions of each Award consistent with the 2008 Plan. Awards
generally vest over a three-year period and expire in ten years.
The Companys 1997 Omnibus Stock Plan (the 1997
Plan), which was approved by the Companys
shareholders and subsequently expired in 2007, authorized Awards
to purchase up to 5,100,000 shares of the Companys
common stock. The Company has awards outstanding under the 1997
Plan and the 2008 Plan.
On August 28, 2005, the Company adopted the fair value
recognition provisions of SFAS No. 123R,
Share-Based Payment, using the modified-prospective
method. As a result, for the year ended August 26, 2006,
the Companys results of operations reflected compensation
expense for new stock options granted and vested under its stock
incentive plans and employees stock purchase plan during fiscal
2008, 2007 and 2006 and the unvested portion of previous stock
option grants which vested during the fiscal years.
Stock-based compensation expense was reflected in the statements
of operations for fiscal 2008, 2007 and 2006 as follows (in
thousands):
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Year Ended
|
|
|
Year Ended
|
|
|
Year Ended
|
|
|
|
August 30, 2008
|
|
|
August 25, 2007
|
|
|
August 26, 2006
|
|
|
Cost of goods sold
|
|
$
|
43
|
|
|
$
|
28
|
|
|
$
|
54
|
|
Selling, general and administrative
|
|
|
401
|
|
|
|
439
|
|
|
|
743
|
|
Research and development
|
|
|
121
|
|
|
|
126
|
|
|
|
342
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Amount charged against net loss
|
|
$
|
565
|
|
|
$
|
593
|
|
|
$
|
1,139
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
The fair value of each option grant is estimated on the date of
grant using the Black-Scholes option-pricing method. The Company
uses historical data to estimate the expected price volatility,
the expected option life and the expected forfeiture rate. The
risk-free rate is based on the U.S. Treasury yield curve in
effect at the time of grant for the estimated life of an option.
The Company has not made any dividend payments nor does it have
plans to pay dividends in the foreseeable future. The following
assumptions were used to estimate the fair value of options
granted under the Companys Plan and the Employees Stock
Purchase Plan (ESPP) during fiscal 2008, 2007 and
2006 using the Black-Scholes option-pricing model:
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Options
|
|
|
ESPP
|
|
Fiscal Year
|
|
2008
|
|
|
2007
|
|
|
2006
|
|
|
2008
|
|
|
2007
|
|
|
2006
|
|
|
Annualized dividend yield
|
|
|
0.0
|
%
|
|
|
0.0
|
%
|
|
|
0.0
|
%
|
|
|
0.0
|
%
|
|
|
0.0
|
%
|
|
|
0.0
|
%
|
Expected stock price volatility
|
|
|
69.3
|
%
|
|
|
69.0
|
%
|
|
|
68.5
|
%
|
|
|
69.3
|
%
|
|
|
69.0
|
%
|
|
|
68.2
|
%
|
Risk free interest rate
|
|
|
3.2
|
%
|
|
|
4.7
|
%
|
|
|
4.5
|
%
|
|
|
2.3
|
%
|
|
|
5.0
|
%
|
|
|
4.8
|
%
|
Expected life (in years)
|
|
|
5.5
|
|
|
|
5.5
|
|
|
|
5.6
|
|
|
|
0.5
|
|
|
|
0.5
|
|
|
|
0.5
|
|
49
FSI
INTERNATIONAL, INC. AND SUBSIDIARIES
NOTES TO
CONSOLIDATED FINANCIAL
STATEMENTS (Continued)
A summary of the option activity for fiscal 2008 is as follows
(in thousands, except price per share and contractual term):
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Weighted-
|
|
|
|
|
|
|
|
|
|
|
|
|
Average
|
|
|
|
|
|
|
|
|
|
Weighted-
|
|
|
Remaining
|
|
|
|
|
|
|
Number of
|
|
|
Average Exercise
|
|
|
Contractual
|
|
|
Aggregate
|
|
|
|
Shares
|
|
|
Price per Share
|
|
|
Term
|
|
|
Intrinsic Value
|
|
|
Outstanding at August 25, 2007
|
|
|
3,578
|
|
|
$
|
7.19
|
|
|
|
|
|
|
|
|
|
Options granted
|
|
|
370
|
|
|
|
1.59
|
|
|
|
|
|
|
|
|
|
Options forfeited
|
|
|
(4
|
)
|
|
|
4.41
|
|
|
|
|
|
|
|
|
|
Options expired
|
|
|
(265
|
)
|
|
|
7.93
|
|
|
|
|
|
|
|
|
|
Options exercised
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Outstanding at August 30, 2008
|
|
|
3,679
|
|
|
$
|
6.58
|
|
|
|
4.9
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Exercisable at August 30, 2008
|
|
|
3,251
|
|
|
$
|
7.12
|
|
|
|
4.3
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
There was no intrinsic value for options outstanding or
exercisable at August 30, 2008 as the closing price of the
Companys stock at the end of fiscal 2008 was less than the
exercise price of the options outstanding or exercisable.
The weighted average grant date fair value based on the
Black-Scholes option-pricing model for options granted in fiscal
2008 was $0.98 per share, for options granted in fiscal 2007 was
$3.32 per share and for options granted in fiscal 2006 was $2.94
per share. There were no options exercised during fiscal 2008.
The total intrinsic value of options exercised was $108,000
during fiscal 2007 and $628,000 during fiscal 2006.
A summary of the status of unvested option shares as of
August 30, 2008 is as follows (in thousands, except fair
value amounts):
|
|
|
|
|
|
|
|
|
|
|
Number of
|
|
|
Weighted-Average
|
|
|
|
Shares
|
|
|
Grant-Date Fair Value
|
|
|
Unvested at August 25, 2007
|
|
|
276
|
|
|
$
|
3.07
|
|
Options granted
|
|
|
370
|
|
|
|
0.98
|
|
Options forfeited
|
|
|
(4
|
)
|
|
|
2.79
|
|
Options vested
|
|
|
(214
|
)
|
|
|
2.58
|
|
|
|
|
|
|
|
|
|
|
Unvested at August 30, 2008
|
|
|
428
|
|
|
$
|
1.51
|
|
|
|
|
|
|
|
|
|
|
As of August 30, 2008, there was $597,000 of total
unrecognized compensation cost related to unvested share-based
compensation granted under our plans. That cost is expected to
be recognized over a weighted-average period of 1.0 years.
The total fair value of option shares vested was $565,000 during
fiscal 2008, $593,000 during fiscal 2007 and $1,139,000 during
fiscal 2006.
50
FSI
INTERNATIONAL, INC. AND SUBSIDIARIES
NOTES TO
CONSOLIDATED FINANCIAL
STATEMENTS (Continued)
The activity under stock option plans of the Company is as
follows (in thousands, except per share amounts):
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Number of Shares
|
|
|
Weighted-Average
|
|
|
|
Available
|
|
|
|
|
|
Exercise Price
|
|
|
|
for Grant
|
|
|
Outstanding
|
|
|
per Share
|
|
|
Activity Description
|
|
|
|
|
|
|
|
|
|
|
|
|
August 27, 2005
|
|
|
550
|
|
|
|
4,001
|
|
|
$
|
7.34
|
|
Granted
|
|
|
(186
|
)
|
|
|
186
|
|
|
|
4.91
|
|
Exercised
|
|
|
|
|
|
|
(261
|
)
|
|
|
3.32
|
|
Canceled
|
|
|
220
|
|
|
|
(227
|
)
|
|
|
8.58
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
August 26, 2006
|
|
|
584
|
|
|
|
3,699
|
|
|
|
7.42
|
|
Granted
|
|
|
(173
|
)
|
|
|
173
|
|
|
|
5.20
|
|
Exercised
|
|
|
|
|
|
|
(54
|
)
|
|
|
3.50
|
|
Canceled
|
|
|
41
|
|
|
|
(240
|
)
|
|
|
10.24
|
|
Expired Plan
|
|
|
(452
|
)
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
August 25, 2007
|
|
|
|
|
|
|
3,578
|
|
|
|
7.19
|
|
Adoption of the 2008 Omnibus Stock Plan
|
|
|
1,000
|
|
|
|
|
|
|
|
|
|
Granted
|
|
|
(370
|
)
|
|
|
370
|
|
|
|
1.59
|
|
Exercised
|
|
|
|
|
|
|
|
|
|
|
|
|
Canceled
|
|
|
|
|
|
|
(269
|
)
|
|
|
7.87
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
August 30, 2008
|
|
|
630
|
|
|
|
3,679
|
|
|
$
|
6.58
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
The following table summarizes information with respect to
options outstanding and exercisable at August 30, 2008
(number of options outstanding and exercisable in thousands):
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Options Outstanding
|
|
|
Options Exercisable
|
|
|
|
|
|
|
Weighted-
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Average
|
|
|
Weighted-
|
|
|
|
|
|
Weighted-
|
|
|
|
Number of
|
|
|
Remaining
|
|
|
Average
|
|
|
|
|
|
Average
|
|
|
|
Options
|
|
|
Contractual
|
|
|
Exercise
|
|
|
|
|
|
Exercise
|
|
Range of Exercise Prices
|
|
Outstanding
|
|
|
Life
|
|
|
Price
|
|
|
Exercisable
|
|
|
Price
|
|
|
$ 1.44 $ 5.00
|
|
|
1,443
|
|
|
|
6.4
|
|
|
$
|
3.13
|
|
|
|
1,112
|
|
|
$
|
3.59
|
|
$ 5.01 $ 8.50
|
|
|
1,185
|
|
|
|
4.6
|
|
|
|
7.15
|
|
|
|
1,088
|
|
|
|
7.32
|
|
$ 8.51 $ 12.00
|
|
|
901
|
|
|
|
2.4
|
|
|
|
10.19
|
|
|
|
901
|
|
|
|
10.19
|
|
$12.01 $ 15.50
|
|
|
135
|
|
|
|
1.4
|
|
|
|
13.16
|
|
|
|
135
|
|
|
|
13.16
|
|
$15.51 $ 16.81
|
|
|
15
|
|
|
|
1.0
|
|
|
|
16.69
|
|
|
|
15
|
|
|
|
16.69
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
$ 1.44 $ 16.81
|
|
|
3,679
|
|
|
|
4.6
|
|
|
$
|
6.58
|
|
|
|
3.251
|
|
|
$
|
7.12
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
There were 3,302,000 currently exercisable options at a
weighted-average exercise price of $7.38 at August 25,
2007, and 3,396,000 currently exercisable options at a
weighted-average exercise price of $7.69 at August 26, 2006.
On May 22, 1997, the Company adopted a Shareholder Rights
Plan (the Rights Plan). Pursuant to the Rights Plan,
rights were distributed as a dividend at the rate of one
preferred share purchase right (Right) for each
outstanding share of common stock of the Company. The Rights
Plan and related Rights expired on June 10, 2007.
51
FSI
INTERNATIONAL, INC. AND SUBSIDIARIES
NOTES TO
CONSOLIDATED FINANCIAL
STATEMENTS (Continued)
|
|
(13)
|
Employees
Stock Purchase Plan
|
The Companys ESPP enables employees to contribute up to
10% of their wages toward the purchase of the Companys
common stock at 85% of the lower of market value at the
beginning or the end of the semiannual purchase period.
Stockholders authorized the issuance of 500,000 additional
shares of common stock to the ESPP in fiscal 2008.
Shares were issued on the following dates for the following
prices (in thousands except per share amounts):
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Price per
|
|
Date
|
|
Shares
|
|
|
Share
|
|
|
December 31, 2005
|
|
|
88
|
|
|
|
3.29
|
|
June 30, 2006
|
|
|
85
|
|
|
|
3.91
|
|
December 31, 2006
|
|
|
75
|
|
|
|
4.39
|
|
June 30, 2007
|
|
|
106
|
|
|
|
2.70
|
|
December 31, 2007
|
|
|
111
|
|
|
|
1.53
|
|
June 30, 2008
|
|
|
183
|
|
|
|
1.14
|
|
As of August 30, 2008, there were 324,000 shares
reserved for future employee purchases of stock under the ESPP.
|
|
(14)
|
Segment
and Other Information
|
Segment
information
The Company has two product lines, Surface Conditioning
(SC) and
POLARIS®
Microlithography Systems.
In accordance with SFAS No. 131
(SFAS 131), Disclosures About Segments of
an Enterprise and Related Information, the Companys
chief operating decision-maker has been identified as the
President and Chief Executive Officer. Due to the level of
integration of the two product lines, the Companys chief
operating decision-maker reviews consolidated operating results
to make decisions about allocating resources and assessing
performance for the entire Company. The two product lines are a
part of one segment for the manufacture, marketing and servicing
of equipment for the microelectronics industry.
Geographic
Information
International sales were approximately 76% of total sales in
fiscal year 2008, approximately 69% of total sales in fiscal
year 2007, and approximately 62% of total sales in fiscal 2006.
The basis for determining sales by geographic region is the
location that the product is shipped to. Included in these
percentages and the table below are sales to related parties
(see Note 3). Sales by geographic area are summarized as
follows (in thousands):
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Fiscal Year Ended
|
|
|
|
August 30,
|
|
|
August 25,
|
|
|
August 26,
|
|
|
|
2008
|
|
|
2007
|
|
|
2006
|
|
|
Asia
|
|
$
|
33,276
|
|
|
$
|
41,779
|
|
|
$
|
36,654
|
|
Europe
|
|
|
25,967
|
|
|
|
37,476
|
|
|
|
33,704
|
|
Other
|
|
|
24
|
|
|
|
381
|
|
|
|
9
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Total International
|
|
|
59,267
|
|
|
|
79,636
|
|
|
|
70,367
|
|
Domestic
|
|
|
18,989
|
|
|
|
36,597
|
|
|
|
42,874
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
$
|
78,256
|
|
|
$
|
116,233
|
|
|
$
|
113,241
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
52
FSI
INTERNATIONAL, INC. AND SUBSIDIARIES
NOTES TO
CONSOLIDATED FINANCIAL
STATEMENTS (Continued)
In fiscal 2008, South Korea accounted for 25% of total sales. In
fiscal 2007, South Korea accounted for 15% of total sales and
Israel accounted for 10% of total sales. In fiscal 2006, the
United Kingdom accounted for 11% of total sales and South Korea
accounted for 11% of total sales.
Long-lived
Assets
The Company does not have significant long-lived assets in
foreign countries.
Customer
Information
The following summarizes significant customers comprising 10% or
more of the Companys trade accounts receivable as of
August 30, 2008 and August 25, 2007 and 10% or more of
sales for fiscal 2008, 2007 and 2006, which includes sales
through affiliates to end-users:
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
% of Trade Accounts
|
|
|
|
|
|
|
Receivable as of
|
|
|
% of Sales for the Fiscal Year Ended
|
|
|
|
August 30,
|
|
|
August 25,
|
|
|
August 30,
|
|
|
August 25,
|
|
|
August 26,
|
|
|
|
2008
|
|
|
2007
|
|
|
2008
|
|
|
2007
|
|
|
2006
|
|
|
Customer A
|
|
|
22
|
%
|
|
|
*
|
|
|
|
19
|
%
|
|
|
13
|
%
|
|
|
11
|
%
|
Customer B
|
|
|
17
|
%
|
|
|
*
|
|
|
|
12
|
%
|
|
|
*
|
|
|
|
14
|
%
|
Customer C
|
|
|
*
|
|
|
|
*
|
|
|
|
*
|
|
|
|
11
|
%
|
|
|
*
|
|
Customer D
|
|
|
*
|
|
|
|
*
|
|
|
|
*
|
|
|
|
*
|
|
|
|
13
|
%
|
Customer E
|
|
|
*
|
|
|
|
*
|
|
|
|
*
|
|
|
|
*
|
|
|
|
11
|
%
|
Customer F
|
|
|
*
|
|
|
|
12
|
%
|
|
|
*
|
|
|
|
*
|
|
|
|
*
|
|
Customer G
|
|
|
*
|
|
|
|
11
|
%
|
|
|
*
|
|
|
|
*
|
|
|
|
*
|
|
Customer H
|
|
|
*
|
|
|
|
10
|
%
|
|
|
*
|
|
|
|
*
|
|
|
|
*
|
|
Customer I
|
|
|
21
|
%
|
|
|
*
|
|
|
|
*
|
|
|
|
*
|
|
|
|
*
|
|
|
|
|
* |
|
Trade accounts receivable from or sales to respective customer
were less than 10% as of the end of or during the fiscal year. |
The Company, in the ordinary course of business, enters into
various licensing agreements. These agreements generally provide
for technology transfers between the Company and the licensors
in exchange for minimum royalty payments
and/or a
fixed royalty to the licensors. The total accrued royalty
license fees included in accrued expenses were $312,000 at
August 30, 2008 and $381,000 at August 25, 2007. These
agreements can generally be terminated by the Company with
appropriate notice to the licensors.
|
|
(16)
|
Supplementary
Cash Flow Information
|
The following summarizes supplementary cash flow items (in
thousands):
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Fiscal Year Ended
|
|
|
|
August 30,
|
|
|
August 25,
|
|
|
August 26,
|
|
|
|
2008
|
|
|
2007
|
|
|
2006
|
|
|
Income taxes paid
|
|
$
|
17
|
|
|
$
|
106
|
|
|
$
|
54
|
|
Interest paid, net
|
|
|
144
|
|
|
|
195
|
|
|
|
43
|
|
Assets acquired by a capital lease
|
|
$
|
442
|
|
|
$
|
1,687
|
|
|
$
|
|
|
53
FSI
INTERNATIONAL, INC. AND SUBSIDIARIES
NOTES TO
CONSOLIDATED FINANCIAL
STATEMENTS (Continued)
|
|
(17)
|
Cost
Reductions and Realignment
|
In fiscal 2008, the Company committed to a plan to reduce its
headcount by approximately 60 positions, or about 14% of the
Companys global workforce from the end of the third
quarter of fiscal 2008. The plan was implemented in September
2008. In conjunction with the staff reductions, the
Companys European and United States sales and service
organizations were consolidated to better support the customer
base in these regions. Also, the Company refocused its remaining
Allen, TX and Chaska, MN based engineering resources toward
products which the Company believes will provide the most
significant opportunity for near-term revenue and future market
share gains. A total of 63 positions were eliminated in
September 2008 of which 19 were manufacturing positions, 19 were
sales, service and marketing positions, 5 were administration
positions and 20 were engineering positions. Severance and
outplacement costs recorded in fiscal 2008 were allocated as
follows: $1,314,000 to selling, general and administrative
expense, $536,000 to research and development expense and
$142,000 to cost of goods sold.
The fiscal 2008 severance and outplacement costs are summarized
as follows (in thousands):
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Amount Paid
|
|
|
|
|
|
|
Amount
|
|
|
Through
|
|
|
Accrual at
|
|
|
|
Charged
|
|
|
August 30,
|
|
|
August 30,
|
|
|
|
Fiscal 2008
|
|
|
2008
|
|
|
2008
|
|
|
Selling, general and administrative expenses
|
|
$
|
1,314
|
|
|
$
|
|
|
|
$
|
1,314
|
|
Research and development expenses
|
|
|
536
|
|
|
|
|
|
|
|
536
|
|
Cost of goods sold
|
|
|
142
|
|
|
|
|
|
|
|
142
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Total severance and outplacement costs
|
|
$
|
1,992
|
|
|
$
|
|
|
|
$
|
1,992
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
The majority of the accruals are expected to be paid in the
first half of fiscal 2009.
In fiscal 2007, the Company implemented cost reduction actions
including a 25% reduction in headcount to approximately
430 employees and other operating cost initiatives. The
cost reduction actions were related to industry conditions in
the semiconductor device and thin film head segments that the
Company serves, coupled with a delay in certain
customer-specific equipment purchases. A total of 136 positions
were eliminated in connection with this reduction of which 61
were manufacturing positions, 28 were sales, service and
marketing positions, 13 were administration positions and 34
were engineering positions. The terminations all occurred in
fiscal 2007. Severance and outplacement costs recorded in fiscal
2007 were allocated as follows: $923,000 to selling, general and
administrative expense, $592,000 to research and development
expense and $296,000 to cost of goods sold.
The fiscal 2007 severance and outplacement costs are summarized
as follows (in thousands):
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Amount Paid
|
|
|
|
|
|
|
Amount
|
|
|
Through
|
|
|
Accrual at
|
|
|
|
Charged
|
|
|
August 30,
|
|
|
August 30,
|
|
|
|
Fiscal 2007
|
|
|
2008
|
|
|
2008
|
|
|
Selling, general and administrative expenses
|
|
$
|
923
|
|
|
$
|
923
|
|
|
$
|
|
|
Research and development expenses
|
|
|
592
|
|
|
|
592
|
|
|
|
|
|
Cost of goods sold
|
|
|
296
|
|
|
|
296
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Total severance and outplacement costs
|
|
$
|
1,811
|
|
|
$
|
1,811
|
|
|
$
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
The accruals were paid in the first quarter of fiscal 2008.
54
FSI
INTERNATIONAL, INC. AND SUBSIDIARIES
NOTES TO
CONSOLIDATED FINANCIAL
STATEMENTS (Continued)
|
|
(18)
|
Marketable
Securities and Impairment of Investment
|
As of August 30, 2008, the Company had investments in
taxable auction rate securities (ARS) reported at a
fair value of $7.3 million after reflecting a
$0.4 million other than temporary impairment against
$7.7 million par value. The other than temporary impairment
has been recorded in other expense in fiscal 2008. The Company
valued the majority of ARS using a mark-to-model approach that
relies on discounted cash flows, market data and inputs derived
from similar instruments. This model takes into account, among
other variables, the base interest rate, credit spreads,
downgrade risks and default/recovery risk, the estimated time
required to work out the disruption in the traditional auction
process and its effect on liquidity, and the effects of
insurance and other credit enhancements. However, the Company
valued certain ARS based on the price at which the issuer
offered to repurchase such ARS in a conditional tender offer
received by the Company in October 2008 from the issuer.
The ARS held by the Company are marketable securities with
long-term stated maturities for which the interest rates are
reset through a Dutch auction every 28 days. The auctions
have historically provided a liquid market for these securities
as investors historically could readily sell their investments
at auction. Due to the liquidity issues experienced in global
credit and capital markets, the ARS held by the Company have
experienced multiple failed auctions, beginning on
February 19, 2008, as the amount of securities submitted
for sale has exceeded the amount of purchase orders. During the
second quarter of fiscal 2008, the Company reclassified
$8.5 million of ARS from current marketable securities to
long-term marketable securities on the condensed consolidated
balance sheet due to difficulties encountered at auction and the
conditions in the general debt markets creating uncertainty as
to when successful auctions may be reestablished. During the
third and fourth quarters of fiscal 2008, $0.8 million of
ARS were partially redeemed. An additional $0.7 million
were redeemed subsequent to August 30, 2008. The ARS
related to these redemptions were reclassified to short-term
marketable securities as of August 30, 2008.
All of the ARS held by the Company continue to carry investment
grade ratings and have not experienced any payment defaults. Of
the ARS held by the Company, $6.8 million par value are
backed by student loans and are collateralized, insured and
guaranteed by the United States Federal Department of Education
and are classified as long-term. The remaining $0.9 million
par value relate to manufactured housing and are collateralized
by the principal housing contract trusts associated with the
related loans and are insured by third parties. These ARS were
reclassified to short-term as of August 30, 2008. ARS that
did not successfully auction, reset to the maximum interest rate
as prescribed in the underlying indenture and all of the
Companys holdings continue to be current with their
interest payments. If uncertainties in the credit and capital
markets continue, these markets deteriorate further or any ARS
the Company holds are downgraded by the rating agencies, the
Company may be required to recognize additional impairment
charges.
In late calendar 2006, the Company determined that certain of
its replacement valves, pumps and heaters could fall within the
scope of United States export licensing regulations to products
that could be used in connection with chemical weapons
processes. The Company determined that these regulations require
it to obtain licenses to ship some of its replacement spare
parts, spare parts kits and assemblies to customers in certain
controlled countries as defined in the export licensing
regulations. During the second quarter of fiscal 2007, the
Company was granted licenses to ship replacement spare parts,
spare parts kits and assemblies to all customers in the
controlled countries where the Company conducts business.
The applicable export licensing regulations frequently change.
Moreover, the types and categories of products that are subject
to export licensing are often described in the regulations in
general terms and could be subject to differing interpretations.
55
FSI
INTERNATIONAL, INC. AND SUBSIDIARIES
NOTES TO
CONSOLIDATED FINANCIAL
STATEMENTS (Continued)
In the second quarter of fiscal 2007, the Company made a
voluntary disclosure to the United States Department of Commerce
to clarify its licensing practices and to review its practices
with respect to prior sales of certain replacement valves, pumps
and heaters to customers in several controlled countries as
defined in the licensing regulations.
The United States Department of Commerce could assess penalties
for any past violation of export control regulations. The
potential penalties are dependent upon the number of shipments
in violation of the export control regulations. The penalties
can range from zero to $50,000 per violation. Management
believes that the resolution of this matter will not have a
material adverse impact to the Companys consolidated
financial condition. The licenses that were granted during the
second quarter of fiscal 2007 do not necessarily mitigate the
Companys risk with respect to past violations.
|
|
(20)
|
Share
Repurchase Plan
|
In October 2008, the Company authorized the repurchase of up to
$3 million of the Companys common stock to be
effected from time to time in transactions in the public markets
or in private purchases. The timing and extent of any
repurchases will depend upon market conditions, the trading
price of the Companys shares and other factors, subject to
the restrictions relating to volume, price and timing of share
repurchases under applicable law. The repurchase program may be
modified, suspended or terminated at any time by the Company
without notice.
56
Report of
Independent Registered Public Accounting Firm
The Board of Directors and Stockholders
FSI International, Inc.:
We have audited the accompanying consolidated balance sheets of
FSI International, Inc. and subsidiaries (the
Company) as of August 30, 2008 and
August 25, 2007, and the related consolidated statements of
operations, stockholders equity and comprehensive loss,
and cash flows for each of the years in the three-year period
ended August 30, 2008. These consolidated financial
statements are the responsibility of the Companys
management. Our responsibility is to express an opinion on these
consolidated financial statements based on our audits.
We conducted our audits in accordance with the standards of the
Public Company Accounting Oversight Board (United States). Those
standards require that we plan and perform the audit to obtain
reasonable assurance about whether the financial statements are
free of material misstatement. An audit includes examining, on a
test basis, evidence supporting the amounts and disclosures in
the financial statements. An audit also includes assessing the
accounting principles used and significant estimates made by
management, as well as evaluating the overall financial
statement presentation. We believe that our audits provide a
reasonable basis for our opinion.
In our opinion, the consolidated financial statements referred
to above present fairly, in all material respects, the financial
position of FSI International, Inc. and subsidiaries as of
August 30, 2008 and August 25, 2007, and the results
of their operations and their cash flows for each of the years
in the three-year period ended August 30, 2008, in
conformity with U.S. generally accepted accounting
principles.
As disclosed in Note 1 to the consolidated financial
statements, the Company adopted the provisions of Securities and
Exchange Commission Staff Accounting Bulletin No. 108,
Considering the Effects of Prior Year Misstatements when
Quantifying Misstatements in Current Year Financial
Statements, as of August 27, 2006.
Minneapolis, Minnesota
November 3, 2008
57
Data for the fiscal quarters of our last two fiscal years is as
follows (in thousands, except per share data):
Quarterly
Data
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
First
|
|
|
Second
|
|
|
Third
|
|
|
Fourth
|
|
|
|
Quarter
|
|
|
Quarter
|
|
|
Quarter
|
|
|
Quarter
|
|
|
|
(b),(c)
|
|
|
(b),(c),(d)
|
|
|
(a),(b),(c),(d)
|
|
|
(a),(b),(c),(e)
|
|
|
|
(In thousands, except per share data)
|
|
|
|
(Unaudited)
|
|
|
2008
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Sales
|
|
$
|
$22,439
|
|
|
$
|
21,423
|
|
|
$
|
20,331
|
|
|
$
|
14,063
|
|
Gross margin
|
|
|
8,603
|
|
|
|
10,210
|
|
|
|
10,479
|
|
|
|
3,694
|
|
Operating loss
|
|
|
(2,418
|
)
|
|
|
(1,482
|
)
|
|
|
(1,632
|
)
|
|
|
(9,457
|
)
|
Net loss
|
|
|
(2,132
|
)
|
|
|
(1,016
|
)
|
|
|
(1,404
|
)
|
|
|
(9,087
|
)
|
Diluted net loss per common share
|
|
$
|
(0.07
|
)
|
|
$
|
(0.03
|
)
|
|
$
|
(0.05
|
)
|
|
$
|
(0.30
|
)
|
2007
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Sales
|
|
$
|
37,707
|
|
|
$
|
33,350
|
|
|
$
|
25,227
|
|
|
$
|
19,949
|
|
Gross margin
|
|
|
16,194
|
|
|
|
14,218
|
|
|
|
9,387
|
|
|
|
7,324
|
|
Operating income (loss)
|
|
|
1,471
|
|
|
|
(793
|
)
|
|
|
(5,303
|
)
|
|
|
(6,880
|
)
|
Net income (loss)
|
|
|
1,888
|
|
|
|
(4,286
|
)
|
|
|
(5,643
|
)
|
|
|
(6,545
|
)
|
Diluted net income (loss) per common share
|
|
$
|
0.06
|
|
|
$
|
(0.14
|
)
|
|
$
|
(0.19
|
)
|
|
$
|
(0.21
|
)
|
|
|
|
(a) |
|
During the fourth quarter of fiscal 2008 and the third and
fourth quarters of fiscal 2007, the Company recorded severance
and outplacement costs as follows: |
|
|
|
|
|
|
|
Fiscal 2008
|
|
|
|
Fourth
|
|
2008
|
|
Quarter
|
|
|
Cost of sales
|
|
$
|
142
|
|
Selling, general and administrative expenses
|
|
|
1,314
|
|
Research and development expenses
|
|
|
536
|
|
|
|
|
|
|
Total
|
|
$
|
1,992
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Third
|
|
|
Fourth
|
|
|
Fiscal
|
|
2007
|
|
Quarter
|
|
|
Quarter
|
|
|
2007
|
|
|
Cost of sales
|
|
$
|
142
|
|
|
$
|
154
|
|
|
$
|
296
|
|
Selling, general and administrative expenses
|
|
|
216
|
|
|
|
707
|
|
|
|
923
|
|
Research and development expenses
|
|
|
216
|
|
|
|
376
|
|
|
|
592
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Total
|
|
$
|
574
|
|
|
$
|
1,237
|
|
|
$
|
1,811
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
(b) |
|
During fiscal 2008 and 2007, the Company recorded stock-based
compensation expense as follows: |
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
First
|
|
|
Second
|
|
|
Third
|
|
|
Fourth
|
|
|
|
|
|
|
Quarter
|
|
|
Quarter
|
|
|
Quarter
|
|
|
Quarter
|
|
|
Fiscal 2008
|
|
|
Cost of sales
|
|
$
|
2
|
|
|
$
|
12
|
|
|
$
|
17
|
|
|
$
|
12
|
|
|
$
|
43
|
|
Selling, general and administrative expenses
|
|
|
112
|
|
|
|
91
|
|
|
|
110
|
|
|
|
88
|
|
|
|
401
|
|
Research and development expenses
|
|
|
23
|
|
|
|
33
|
|
|
|
41
|
|
|
|
24
|
|
|
|
121
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Total
|
|
$
|
137
|
|
|
$
|
136
|
|
|
$
|
168
|
|
|
$
|
124
|
|
|
$
|
565
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
58
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
First
|
|
|
Second
|
|
|
Third
|
|
|
Fourth
|
|
|
Fiscal
|
|
|
|
Quarter
|
|
|
Quarter
|
|
|
Quarter
|
|
|
Quarter
|
|
|
2007
|
|
|
Cost of sales
|
|
$
|
15
|
|
|
$
|
2
|
|
|
$
|
6
|
|
|
$
|
5
|
|
|
$
|
28
|
|
Selling, general and administrative expenses
|
|
|
131
|
|
|
|
73
|
|
|
|
112
|
|
|
|
123
|
|
|
|
439
|
|
Research and development expenses
|
|
|
68
|
|
|
|
1
|
|
|
|
20
|
|
|
|
37
|
|
|
|
126
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Total
|
|
$
|
214
|
|
|
$
|
76
|
|
|
$
|
138
|
|
|
$
|
165
|
|
|
$
|
593
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
(c) |
|
During fiscal 2008 and 2007, the Company had sales of POLARIS
systems product inventory with an original cost that had
previously been written down to zero as follows: |
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
First
|
|
|
Second
|
|
|
Third
|
|
|
Fourth
|
|
|
Fiscal
|
|
|
|
Quarter
|
|
|
Quarter
|
|
|
Quarter
|
|
|
Quarter
|
|
|
Year
|
|
|
Fiscal 2008
|
|
$
|
339
|
|
|
$
|
330
|
|
|
$
|
185
|
|
|
$
|
95
|
|
|
$
|
949
|
|
Fiscal 2007
|
|
$
|
87
|
|
|
$
|
800
|
|
|
$
|
|
|
|
$
|
|
|
|
$
|
887
|
|
|
|
|
(d) |
|
During the second quarter of fiscal 2007, the Company recorded a
$3.6 million impairment of investment and during the third
quarter of fiscal 2007, the Company recorded a $0.5 million
impairment of investment. |
|
(e) |
|
During the fourth quarter of fiscal 2008, the Company recorded
an other than temporary impairment related to its ARS of
$0.4 million. |
The Companys fiscal quarters are generally 13 weeks,
all ending on a Saturday. The fiscal year ends on the last
Saturday in August and consists of 52 or 53 weeks.
59
|
|
ITEM 9.
|
CHANGES
IN AND DISAGREEMENTS WITH ACCOUNTANTS ON ACCOUNTING AND
FINANCIAL DISCLOSURE
|
None.
|
|
ITEM 9A.
|
CONTROLS
AND PROCEDURES
|
MANAGEMENTS
REPORT ON INTERNAL CONTROL OVER FINANCIAL REPORTING
The management of the Company is responsible for establishing
and maintaining adequate internal control over financial
reporting, as such term is defined in
Rule 13a-15(f)
under the Exchange Act of 1934 (the Exchange Act).
The Companys internal control system was designed to
provide reasonable assurance to the Companys management
and Board of Directors regarding the preparation and fair
presentation of published financial statements. Under the
supervision and with the participation of management, including
our Chairman and Chief Executive Officer and Chief Financial
Officer, we conducted an assessment of the effectiveness of our
internal control over financial reporting as of August 30,
2008. In making this assessment, management used the criteria
set forth by the Committee of Sponsoring Organizations of the
Treadway Commission (COSO) in Internal
Control Integrated Framework.
Based on our assessment using the criteria set forth by COSO in
Internal Control Integrated Framework,
management concluded that our internal control over financial
reporting was effective as of August 30, 2008. This annual
report does not include an attestation report of KPMG LLP
(KPMG), our independent registered public accounting
firm, regarding internal control over financial reporting.
Managements report was not subject to attestation by KPMG
pursuant to temporary rules of the SEC that permit us to provide
only managements report in this annual report.
Conclusion
Regarding the Effectiveness of Disclosure Controls and
Procedures
As of the end of the period covered by this report, we conducted
an evaluation, under the supervision and with the participation
of the principal executive officer and principal financial
officer, of our disclosure controls and procedures (as defined
in
Rules 13a-14(c)
and
15d-14(c)
under the Exchange Act). Based on this evaluation, the principal
executive officer and principal financial officer concluded that
our disclosure controls and procedures are effective to ensure
that information required to be disclosed by us in reports that
we file or submit under the Exchange Act is recorded, processed,
summarized and reported within the time periods specified in
Securities and Exchange Commission rules and forms.
Changes
in Internal Controls Over Financial Reporting
There were no changes in our internal control over financial
reporting during our most recently completed fiscal quarter that
have materially affected, or were reasonably likely to
materially affect, our internal control over financial reporting.
|
|
ITEM 9B.
|
OTHER
INFORMATION
|
None.
PART III
Certain information required by Part III is incorporated by
reference to our definitive proxy statement for the annual
meeting of shareholders to be held on January 21, 2009 and
which will be filed with the Securities and Exchange Commission
pursuant to Regulation 14A within 120 days after
August 30, 2008.
Except for those portions specifically incorporated in this
report by reference to our proxy statement for the annual
meeting of shareholders to be held on January 21, 2009, no
other portions of the proxy statement are deemed to be filed as
part of this Report on
Form 10-K.
60
|
|
ITEM 10.
|
DIRECTORS
AND EXECUTIVE OFFICERS OF THE REGISTRANT
|
The information concerning our directors and our board
committees required by this item is incorporated by reference to
the information under the captions Election of
Directors and Compliance with Section 16(a) of
the Securities and Exchange Act of 1934 in our proxy
statement for the annual meeting of shareholders to be held on
January 21, 2009. For information concerning executive
officers, see Item 4A of this
Form 10-K
Report.
Audit
Committee Financial Expert
Our board of directors has determined that at least one member
of our Audit and Finance Committee, Mr. James A. Bernards,
is an audit committee financial expert, as that term
is defined under Section 407 of the Sarbanes-Oxley Act of
2002 and the rules promulgated by the SEC in furtherance of
Section 407. Mr. Bernards is independent, as that term
is defined under the National Association of Securities
Dealers listing standards.
Code of
Business Conduct and Ethics
We have adopted a code of business conduct and ethics applicable
to all of our directors and employees, including our principal
executive officer, principal financial officer, controller and
other employees performing similar functions. A copy of this
code of business conduct and ethics is available on our website
at
www.fsi-intl.com.
We intend to disclose any waiver of our code of business conduct
and ethics for our directors or executive officers in future
Form 8-K
filings within four business days following the date of such
waiver. We also intend to post on our website at
www.fsi-intl.com any amendment to, or waiver from, a provision
of our code of business conduct and ethics that applies to our
principal executive officer, principal financial officer,
controller and other employees performing similar functions
within four business days following the date of such amendment
or waiver.
|
|
ITEM 11.
|
EXECUTIVE
COMPENSATION
|
The information required by this item is incorporated by
reference to the information under the captions Executive
Compensation and Compensation of Directors in
our proxy statement for the annual meeting of shareholders to be
held on January 21, 2009.
|
|
ITEM 12.
|
SECURITY
OWNERSHIP OF CERTAIN BENEFICIAL OWNERS AND MANAGEMENT AND
RELATED STOCKHOLDER MATTERS
|
The information required by this item is incorporated by
reference to the information under the captions Security
Ownership of Management and Certain Beneficial Owners and
Equity Compensation Plan Information in our proxy
statement for the annual meeting of shareholders to be held on
January 21, 2009.
|
|
ITEM 13.
|
CERTAIN
RELATIONSHIPS AND RELATED TRANSACTIONS
|
The information required by this item is incorporated by
reference to the information under the caption Interests
of Management and Others in Certain Transactions in our
proxy statement for the annual meeting of shareholders to be
held on January 21, 2009.
|
|
ITEM 14.
|
PRINCIPAL
ACCOUNTANT FEES AND SERVICES
|
The information required by this item is incorporated by
reference to the information under the captions
Independent Auditors Fees and Auditor
Independence in our proxy statement for the annual meeting
of shareholders to be held on January 21, 2009.
61
PART IV
|
|
ITEM 15.
|
EXHIBITS AND
FINANCIAL STATEMENT SCHEDULES
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Page Number
|
|
|
|
|
in this Report
|
|
|
(a)(1)
|
|
|
Index to Financial Statements
|
|
|
|
|
|
|
|
|
Consolidated Statements of Operations Years ended
August 30, 2008, August 25, 2007 and
August 26, 2006
|
|
|
34
|
|
|
|
|
|
Consolidated Balance Sheets August 30, 2008 and
August 25, 2007
|
|
|
35
|
|
|
|
|
|
Consolidated Statements of Stockholders Equity and
Comprehensive (Loss) Income Years
ended August 30, 2008, August 25, 2007 and
August 26, 2006
|
|
|
36
|
|
|
|
|
|
Consolidated Statements of Cash Flows Years ended
August 30, 2008, August 25, 2007 and
August 26, 2006
|
|
|
37
|
|
|
|
|
|
Notes to Consolidated Financial Statements
|
|
|
38
|
|
|
|
|
|
Report of Independent Registered Public Accounting Firm
|
|
|
57
|
|
|
|
|
|
Quarterly financial data for fiscal 2008 and 2007 (unaudited)
|
|
|
58
|
|
|
(a)(2)
|
|
|
Financial Statement Schedules
|
|
|
|
|
|
|
|
|
All schedules are omitted because they are not applicable or the
required information is shown in the consolidated financial
statements or notes thereto.
|
|
|
|
|
(a)(3) Exhibits
|
|
|
|
|
|
2
|
.1
|
|
Agreement and Plan of Reorganization, dated as of
January 21, 1999 among FSI International, Inc., BMI
International, Inc. and YieldUP International Corporation.(6)
|
|
2
|
.2
|
|
Agreement and Plan of Reorganization by and Among FSI
International, Inc., Spectre Acquisition Corp., and
Semiconductor Systems, Inc.(1)
|
|
2
|
.3
|
|
Asset Purchase Agreement dated as of June 9, 1999 between
FSI International, Inc. and The BOC Group, Inc.(7)
|
|
3
|
.1
|
|
Restated Articles of Incorporation of the Company.(2)
|
|
3
|
.2
|
|
Restated and Amended By-Laws.(14)
|
|
3
|
.5
|
|
Articles of Amendment of Restated Articles of Incorporation.(8)
|
|
10
|
.1
|
|
FSI International, Inc. 1997 Omnibus Stock Plan (as amended and
restated April 2001).(12)
|
|
10
|
.2
|
|
Form of Incentive Stock Option Agreement for the FSI
International, Inc. 1997 Omnibus Stock Plan, as amended.(15)
|
|
10
|
.3
|
|
Form of Incentive Stock Option Agreement for Outside Directors
for the FSI International, Inc. 1997 Omnibus Stock Plan, as
amended.(15)
|
|
10
|
.4
|
|
FSI International, Inc. 2008 Omnibus Stock Plan.(17)
|
|
10
|
.5
|
|
Amended and Restated Employees Stock Purchase Plan.(17)
|
|
10
|
.6
|
|
Management Agreement entered into as of March 28, 2008, by
and between FSI International, Inc. and Donald S. Mitchell.
(Identical Management Agreements were entered into on
March 28, 2008 between the Company and each of Benno G.
Sand, Patricia M. Hollister and John C. Ely. These Management
Agreements have been omitted but will be filed if requested in
writing by the Commission)(18)
|
|
10
|
.7
|
|
Severance Agreement entered into as of March 28, 2008, by
and between FSI International, Inc. and Benno G. Sand.(18)
|
|
10
|
.8
|
|
Employment Agreement entered into as of March 28, 2008, by
and between FSI International, Inc. and Donald S. Mitchell.(18)
|
|
10
|
.9
|
|
Amended and Restated Summary of Terms of Employment entered into
as of March 28, 2008 between FSI International and Donald
S. Mitchell.(18)
|
62
|
|
|
|
|
|
10
|
.10
|
|
Severance Agreement entered into as of March 28, 2008, by
and between FSI International, Inc. and Patricia M. Hollister.
(An identical Severance Agreement was entered into on
March 28, 2008 between the Company and John C. Ely. This
Severance Agreement has been omitted but will be filed if
requested in writing by the Commission.)(18)
|
|
10
|
.15
|
|
License Agreement, dated October 15, 1991, between the
Company and Texas Instruments Incorporated.(3)
|
|
10
|
.16
|
|
Amendment No. 1, dated April 10, 1992, to the License
Agreement, dated October 15, 1991, between the Company and
Texas Instruments Incorporated.(3)
|
|
10
|
.17
|
|
Amendment effective October 1, 1993 to the License
Agreement, dated October 15, 1991 between the Company and
Texas Instruments Incorporated.(4)
|
|
10
|
.18
|
|
Amended and Restated Directors Nonstatutory Stock Option
Plan.(5)
|
|
10
|
.19
|
|
Management Agreement between FSI International, Inc. and Donald
S. Mitchell, effective as of January 2, 2001. (Similar
agreements between the Company and its executive officers have
been omitted but will be filed if requested in writing by the
commission.)(11)#
|
|
10
|
.26
|
|
Summary of Employment Arrangement between the Company and Don
Mitchell dated December 12, 1999.(10)#
|
|
10
|
.30
|
|
Employment Agreement entered into as of December 12, 1999
by and between FSI International, Inc. and Donald S.
Mitchell.(9)#
|
|
10
|
.31
|
|
Agreement made and entered into as of March 4, 2001 by and
between FSI International, Inc. and Benno G. Sand.(13)#
|
|
10
|
.40
|
|
Termination and Release Agreement dated as of May 15, 2007
with Mitsui & Co., Ltd., Chlorine engineers Corp.,
Ltd., MBK Project Holdings Ltd. and Apprecia.(16)
|
|
10
|
.41
|
|
Stock Purchase Agreement dated as of May 15, 2007 by an
among FSI International, Inc., MBK Project Holdings Ltd.,
Chlorine Engineers Corp. Ltd., Yasuda Enterprise
Development III Limited Partnership, Mizuho Capital Co.,
Ltd., Mr. Hideki Kawai, Mr. Takanori Yoshioka and
Mr. Satoshi Shikami. (exhibits omitted)(16)
|
|
21
|
.0
|
|
Subsidiaries of the Company. (filed herewith)
|
|
23
|
.0
|
|
Consent of KPMG LLP, independent registered public accounting
firm. (filed herewith)
|
|
24
|
.0
|
|
Powers of Attorney from the Directors of FSI International, Inc.
(filed herewith)
|
|
31
|
.1
|
|
Certification by Principal Executive Officer pursuant to
Section 302 of the Sarbanes-Oxley Act of 2002. (filed
herewith)
|
|
31
|
.2
|
|
Certification by Principal Financial and Accounting Officer
pursuant to Section 302 of the Sarbanes-Oxley Act of 2002.
(filed herewith)
|
|
32
|
.1
|
|
Certification of Chief Executive Officer and Chief Financial
Officer Pursuant to 18 U.S.C. Section 1350, as Adopted
Pursuant to Section 906 of the Sarbanes-Oxley Act of 2002.
(filed herewith)
|
|
|
|
# |
|
Identified exhibit is a management contract, compensation plan
or arrangement. |
|
(1) |
|
Filed as an Exhibit to the Companys Registration Statement
on
Form S-4
(as amended) dated March 21, 1996, SEC File
No. 333-1509
and incorporated by reference. |
|
(2) |
|
Filed as an Exhibit to the Companys Report on
Form 10-Q
for the quarter ended February 24, 1990, SEC File
No. 0-17276,
and incorporated by reference. |
|
(3) |
|
Filed as an Exhibit to the Companys Report on
Form 10-K
for the fiscal year ended August 29, 1992, File
No. 0-17276,
and incorporated by reference. |
|
(4) |
|
Filed as an Exhibit to the Companys Report on
Form 10-K
for the fiscal year ended August 28, 1993, SEC File
No. 0-17276,
and incorporated by reference. |
|
(5) |
|
Filed as an Exhibit to the Companys Report on
Form 10-Q
for the fiscal quarter ended May 28, 1994, SEC File
No. 0-17276,
and incorporated by reference. |
|
(6) |
|
Filed as an Exhibit to the Companys Report on
Form 8-K,
filed by the Company on January 27, 1999, SEC File
No. 0-17276
and incorporated by reference. |
63
|
|
|
(7) |
|
Filed as an Exhibit to the Companys Report on
Form 8-K,
filed by the Company on June 24, 1999, SEC File
No. 0-17276
and incorporated by reference. |
|
(8) |
|
Filed as an Exhibit to the Companys Report on
Form 10-K
for the fiscal year ended August 28, 1999, SEC File
No. 0-17276,
and incorporated by reference. |
|
(9) |
|
Filed as an Exhibit to the Companys Report on
Form 10-Q
for the fiscal quarter ended February 26, 2000, SEC File
No. 0-17276
and incorporated by reference. |
|
(10) |
|
Filed as an Exhibit to the Companys Report on
Form 10-K
for the fiscal year ended August 26, 2000, SEC File
No. 0-17276
and incorporated by reference. |
|
(11) |
|
Filed as an Exhibit to the Companys Report on
Form 10-Q
for the fiscal quarter ended February 24, 2001, SEC File
No. 0-17276
and incorporated by reference. |
|
(12) |
|
Filed as an Exhibit to the Companys Registration Statement
on
Form S-8,
filed by the Company on March 28, 2003, SEC File
No. 333-104088
and incorporated by reference. |
|
(13) |
|
Filed as an Exhibit to the Companys Report on
Form 10-K
for the fiscal year ended August 31, 2002, SEC File
No. 0-17276
and incorporated by reference. |
|
(14) |
|
Filed as an Exhibit to the Companys Report on
Form 10-Q
for the fiscal quarter ended February 23, 2002, SEC File
No. 0-17276
and incorporated by reference. |
|
(15) |
|
Filed as an Exhibit to the Companys Current Report on
Form 8-K,
filed by the Company on October 20, 2004, SEC File
No. 0-17276
and incorporated by reference. |
|
(16) |
|
Filed as an Exhibit to the Companys Report on
Form 10-Q
for the quarter ended May 26, 2007,
SEC File No. 0-17276
and incorporated by reference. |
|
(17) |
|
Filed as an Exhibit to the Companys Registration Statement
on
Form S-8,
filed by the Company on March 21, 2008, SEC File
No. 333-149852
and incorporated by reference. |
|
(18) |
|
Filed as an Exhibit to the Companys Report on
Form 10-Q
for the fiscal quarter ended March 1, 2008, SEC File
No. 0-17276
and incorporated by reference. |
64
SIGNATURES
Pursuant to the requirements of Section 13 or 15(d) of the
Securities Exchange Act of 1934, the Registrant has duly caused
this report to be signed on its behalf by the undersigned,
thereunto duly authorized.
FSI INTERNATIONAL, INC.
|
|
|
|
By:
|
/s/ Donald
S. Mitchell
|
Donald S. Mitchell, Chairman and
Chief Executive Officer
(Principal Executive Officer)
Dated: November 4, 2008
|
|
|
|
By:
|
/s/ Patricia
M. Hollister
|
Patricia M. Hollister, Chief Financial Officer
(Principal Financial and Accounting Officer)
Pursuant to the requirements of the Securities Exchange Act of
1934, this report has been signed below by the following
persons, constituting a majority of the Board of Directors, on
behalf of the Registrant and in the capacities and on the dates
indicated.
James A. Bernards, Director*
Terrence W. Glarner, Director*
Willem D. Maris, Director*
Donald S. Mitchell, Director*
David V. Smith, Director*
|
|
|
|
*By:
|
/s/ Patricia
M. Hollister
|
Patricia M. Hollister, Attorney-in-fact
Dated: November 4, 2008
65
INDEX TO
EXHIBITS
|
|
|
|
|
|
|
Exhibit
|
|
Description
|
|
Method of Filing
|
|
|
2
|
.1
|
|
Agreement and Plan of Reorganization, dated as of
January 21, 1999 among FSI International, Inc., BMI
International, Inc. and YieldUP International Corporation.(6)
|
|
Incorporated by reference
|
|
2
|
.2
|
|
Agreement and Plan of Reorganization by and Among FSI
International, Inc., Spectre Acquisition Corp., and
Semiconductor Systems, Inc.(1)
|
|
Incorporated by reference
|
|
2
|
.3
|
|
Asset Purchase Agreement dated as of June 9, 1999 between
FSI International, Inc. and The BOC Group, Inc.(7)
|
|
Incorporated by reference
|
|
3
|
.1
|
|
Restated Articles of Incorporation of the Company.(2)
|
|
Incorporated by reference
|
|
3
|
.2
|
|
Restated and Amended By-Laws.(14)
|
|
Incorporated by reference
|
|
3
|
.5
|
|
Articles of Amendment of Restated Articles of Incorporation.(8)
|
|
Incorporated by reference
|
|
10
|
.1
|
|
FSI International, Inc. 1997 Omnibus Stock Plan (as amended and
restated April 2001).(12)
|
|
Incorporated by reference
|
|
10
|
.2
|
|
Form of Incentive Stock Option Agreement for the FSI
International, Inc. 1997 Omnibus Stock Plan, as amended.(15)
|
|
Incorporated by reference
|
|
10
|
.3
|
|
Form of Incentive Stock Option Agreement for Outside Directors
for the FSI International, Inc. 1997 Omnibus Stock Plan, as
amended.(15)
|
|
Incorporated by reference
|
|
10
|
.4
|
|
FSI International, Inc. 2008 Omnibus Stock Plan.(17)
|
|
Incorporated by reference
|
|
10
|
.5
|
|
Amended and Restated Employees Stock Purchase Plan.(17)
|
|
Incorporated by reference
|
|
10
|
.6
|
|
Management Agreement entered into as of March 28, 2008, by
and between FSI International, Inc. and Donald S. Mitchell.
(Identical Management Agreements were entered into on
March 28, 2008 between the Company and each of Benno G.
Sand, Patricia M. Hollister and John C. Ely. These
Management Agreements have been omitted but will be filed if
requested in writing by the Commission)(18)
|
|
Incorporated by reference
|
|
10
|
.7
|
|
Severance Agreement entered into as of March 28, 2008, by
and between FSI International, Inc. and Benno G. Sand.(18)
|
|
Incorporated by reference
|
|
10
|
.8
|
|
Employment Agreement entered into as of March 28, 2008, by
and between FSI International, Inc. and Donald S. Mitchell.(18)
|
|
Incorporated by reference
|
|
10
|
.9
|
|
Amended and Restated Summary of Terms of Employment entered into
as of March 28, 2008 between FSI International and Donald
S. Mitchell.(18)
|
|
Incorporated by reference
|
|
10
|
.10
|
|
Severance Agreement entered into as of March 28, 2008, by
and between FSI International, Inc. and Patricia M. Hollister.
(An identical Severance Agreement was entered into on
March 28, 2008 between the Company and John C. Ely. This
Severance Agreement has been omitted but will be filed if
requested in writing by the Commission.)(18)
|
|
Incorporated by reference
|
|
10
|
.15
|
|
License Agreement, dated October 15, 1991, between the
Company and Texas Instruments Incorporated.(3)
|
|
Incorporated by reference
|
|
10
|
.16
|
|
Amendment No. 1, dated April 10, 1992, to the License
Agreement, dated October 15, 1991, between the Company and
Texas Instruments Incorporated.(3)
|
|
Incorporated by reference
|
|
10
|
.17
|
|
Amendment effective October 1, 1993 to the License
Agreement, dated October 15, 1991 between the Company and
Texas Instruments Incorporated.(4)
|
|
Incorporated by reference
|
|
10
|
.18
|
|
Amended and Restated Directors Nonstatutory Stock Option
Plan.(5)
|
|
Incorporated by reference
|
|
10
|
.19
|
|
Management Agreement between FSI International, Inc. and Donald
S. Mitchell, effective as of January 2, 2001. (Similar
agreements between the Company and its executive officers have
been omitted but will be filed if requested in writing by the
commission.)(11)#
|
|
Incorporated by reference
|
66
|
|
|
|
|
|
|
Exhibit
|
|
Description
|
|
Method of Filing
|
|
|
10
|
.26
|
|
Summary of Employment Arrangement between the Company and Don
Mitchell dated December 12, 1999.(10)#
|
|
Incorporated by reference
|
|
10
|
.30
|
|
Employment Agreement entered into as of December 12, 1999
by and between FSI International, Inc. and Donald S.
Mitchell.(9)#
|
|
Incorporated by reference
|
|
10
|
.31
|
|
Agreement made and entered into as of March 4, 2001 by and
between FSI International, Inc. and Benno G. Sand.(13)#
|
|
Incorporated by reference
|
|
10
|
.40
|
|
Termination and Release Agreement dated as of May 15, 2007
with Mitsui & Co., Ltd., Chlorine engineers Corp.,
Ltd., MBK Project Holdings Ltd. and Apprecia.(16)
|
|
Incorporated by reference
|
|
10
|
.41
|
|
Stock Purchase Agreement dated as of May 15, 2007 by an
among FSI International, Inc., MBK Project Holdings Ltd.,
Chlorine Engineers Corp. Ltd., Yasuda Enterprise
Development III Limited Partnership, Mizuho Capital Co.,
Ltd., Mr. Hideki Kawai, Mr. Takanori Yoshioka and
Mr. Satoshi Shikami. (exhibits omitted)(16)
|
|
Incorporated by reference
|
|
21
|
.0
|
|
Subsidiaries of the Company.
|
|
Filed herewith
|
|
23
|
.0
|
|
Consent of KPMG LLP, independent registered public accounting
firm.
|
|
Filed herewith
|
|
24
|
.0
|
|
Powers of Attorney from the Directors of FSI International,
Inc.
|
|
Filed herewith
|
|
31
|
.1
|
|
Certification by Principal Executive Officer Pursuant to
Section 302 of the Sarbanes-Oxley Act.
|
|
Filed herewith
|
|
31
|
.2
|
|
Certification by Principal Financial and Accounting Officer
Pursuant to Section 302 of the Sarbanes-Oxley Act.
|
|
Field herewith
|
|
32
|
.1
|
|
Certification of Chief Executive Officer Pursuant to
18 U.S.C. Section 1350, as Adopted Pursuant to
Section 906 of the Sarbanes-Oxley Act of 2002.
|
|
Filed herewith
|
|
|
|
# |
|
Identified exhibit is a management contract, compensation plan
or arrangement. |
|
(1) |
|
Filed as an Exhibit to the Companys Registration Statement
on
Form S-4
(as amended) dated March 21, 1996, SEC File
No. 333-1509
and incorporated by reference. |
|
(2) |
|
Filed as an Exhibit to the Companys Report on
Form 10-Q
for the quarter ended February 24, 1990, SEC File
No. 0-17276,
and incorporated by reference. |
|
(3) |
|
Filed as an Exhibit to the Companys Report on
Form 10-K
for the fiscal year ended August 29, 1992, File
No. 0-17276,
and incorporated by reference. |
|
(4) |
|
Filed as an Exhibit to the Companys Report on
Form 10-K
for the fiscal year ended August 28, 1993, SEC File
No. 0-17276,
and incorporated by reference. |
|
(5) |
|
Filed as an Exhibit to the Companys Report on
Form 10-Q
for the fiscal quarter ended May 28, 1994, SEC File
No. 0-17276,
and incorporated by reference. |
|
(6) |
|
Filed as an Exhibit to the Companys Report on
Form 8-K,
filed by the Company on January 27, 1999, SEC File
No. 0-17276
and incorporated by reference. |
|
(7) |
|
Filed as an Exhibit to the Companys Report on
Form 8-K,
filed by the Company on June 24, 1999, SEC File
No. 0-17276
and incorporated by reference. |
|
(8) |
|
Filed as an Exhibit to the Companys Report on
Form 10-K
for the fiscal year ended August 28, 1999, SEC File
No. 0-17276,
and incorporated by reference. |
|
(9) |
|
Filed as an Exhibit to the Companys Report on
Form 10-Q
for the fiscal quarter ended February 26, 2000, SEC File
No. 0-17276
and incorporated by reference. |
|
(10) |
|
Filed as an Exhibit to the Companys Report on
Form 10-K
for the fiscal year ended August 26, 2000, SEC File
No. 0-17276
and incorporated by reference. |
|
(11) |
|
Filed as an Exhibit to the Companys Report on
Form 10-Q
for the fiscal quarter ended February 24, 2001, SEC File
No. 0-17276
and incorporated by reference. |
67
|
|
|
(12) |
|
Filed as an Exhibit to the Companys Registration Statement
on
Form S-8,
filed by the Company on March 28, 2003, SEC File
No. 333-104088
and incorporated by reference. |
|
(13) |
|
Filed as an Exhibit to the Companys Report on
Form 10-K
for the fiscal year ended August 31, 2002, SEC File
No. 0-17276
and incorporated by reference. |
|
(14) |
|
Filed as an Exhibit to the Companys Report on
Form 10-Q
for the fiscal quarter ended February 23, 2002, SEC File
No. 0-17276
and incorporated by reference. |
|
(15) |
|
Filed as an Exhibit to the Companys Current Report on
Form 8-K,
filed by the Company on October 20, 2004, SEC File
No. 0-17276
and incorporated by reference. |
|
(16) |
|
Filed as an Exhibit to the Companys Report on
Form 10-Q
for the quarter ended May 26, 2007,
SEC File No. 0-17276
and incorporated by reference. |
|
(17) |
|
Filed as an Exhibit to the Companys Registration Statement
on
Form S-8,
filed by the Company on March 21, 2008, SEC File
No. 333-149852
and incorporated by reference. |
|
(18) |
|
Filed as an Exhibit to the Companys Report on
Form 10-Q
for the fiscal quarter ended March 1, 2008, SEC File
No. 0-17276
and incorporated by reference. |
68